当前位置: 仪器信息网 > 行业主题 > >

自动电镜

仪器信息网自动电镜专题为您提供2024年最新自动电镜价格报价、厂家品牌的相关信息, 包括自动电镜参数、型号等,不管是国产,还是进口品牌的自动电镜您都可以在这里找到。 除此之外,仪器信息网还免费为您整合自动电镜相关的耗材配件、试剂标物,还有自动电镜相关的最新资讯、资料,以及自动电镜相关的解决方案。

自动电镜相关的资讯

  • 蔡司扫描电镜新玩法 | 轻松实现关联定位、自动成像和量化分析
    在使用扫描电镜的过程中,您是否总是遭遇如下问题: 1. 在不同设备间切换样品时总需耗费大量时间重新定位感兴趣区域2. 总是为获取大面积高分辨图像而苦恼,需要频繁切换成像参数,调整像散聚焦3. 得到图像后又在为图像的量化分析而发愁 针对以上的困扰和需求,蔡司对扫描电镜进行了全方位武装,特别推出智能电镜解决方案,解决以上提到的所有问题,最大程度确保您日常检测和分析工作的顺畅与高效。 01 关联定位分析 蔡司Connect模块轻松实现光学显微镜到扫描电镜的桥接,快速实现样品在不同设备间的重新定位,还能统一管理关联设备的数据和信息 ✓ 关联定位:通过关联样品台实现样品在不同显微镜设备之间自动关联重定位,大幅缩减操作用时✓ 数据叠加:自由叠加来自手机,光学显微镜,扫描电镜的信息以及相关能谱信息✓ 统一管理:管理来自不同关联设备的数据,输出不同信息叠加图像和视频 ▲ PCB电路板的关联显微分析(光镜,电镜,能谱信息),左:宏观图像;右:感兴趣位置局部放大 ▲芯片关联显微分析视频(光镜,共聚焦,电镜) 02 自动成像 蔡司SmartSEM Touch定制软件,全面兼顾参数设置,成像,自动化拼图,图像浏览,实现智能高效的扫描电镜成像 ✓ 向导式操作流程,界面简洁,操作简单✓ 根据样品智能匹配成像参数,实现自动聚焦✓ 简单操作即可完成高通量图像拍摄和拼接 ▲简洁的SmartSEM Touch操作界面 03 量化分析 蔡司ZEN模块实现从电镜图像获取,图像处理,图像分割,自动测量到报告生成的整个量化分析流程 ✓ 一键获取扫描电镜图像,向导式的分析工作流程,毫无经验的新手也可轻松掌握✓ 基于机器学习的ZEN Intellesis模块轻松实现传统阈值方法难以达成的图像处理需求✓ 丰富的测量功能,如颗粒统计分析,孔隙率,含量百分比,层厚测量,晶粒度评级等 ▲量化分析界面-二值化分割 ▲金属焊接位置孔隙大小分析及含量分析 ▲满足多种测量需求——高级测量 ▲颗粒分析 ▲晶粒度评级 ▲含量百分比 ▲层厚测量 ▲孔隙率分析 蔡司智能扫描电镜解决方案满足您的多种需求,点击下方您所属的专业领域了解更多,或关注蔡司显微镜微信公众号(ZEISSMIK)留言咨询您想知道的任何信息。
  • 第三百台飞纳电镜全自动显微平台 Phenom XL落户中国!
    自飞纳台式电镜全自动显微平台 Phenom XL问世以来,由于其继承发扬了飞纳台式电镜的优势和特点,并不断拓展新的能力,一直广受客户好评,装机量也持续增长。近日,该型号总装机量已经突破三百台!第三百位幸运客户来自成都的中科院光电技术研究所的某部门。2017 年 10 月 24 日,飞纳电镜工程师在现场安装、调试、培训。科学家们与工程师积极交流,热烈讨论,最终获得上机证书。以下是部分现场照片及测试数据: 图1. 部分样品 SEM 照片 图2. 某样品的能谱线扫结果 图3. 用户操作电镜 图4. 获得证书的某用户光电所始建于 1970 年,是中科院在西南地区规模最大的研究所。建所以来,光电所在自适应光学、光束控制、微纳光学等领域取得了重大成就,科技成果 540 余项,专利 470 余件,论文 4500 余篇。如此大量的研究成绩离不开科学家们的努力工作,而科学家们的日常工作又会对 SEM 数据有大量的需求。飞纳电镜全自动显微平台Phenom XL的超大样品容量、超快进样速度、超长寿命灯丝、超小占用体积、超强适应能力等诸多特点完美契合了科学家们的测样需求,为他们的科研工作助力!
  • 日本电子全自动高速纳米级分析型透射电镜问世
    2011年7月12日,日本电子株式会社全球同步推出一款高度自动化的透射电镜JEM-2800.它一改以往透射电镜操作复杂的缺点,可进行简单高速观察与分析。 JEM-2800不再使用传统的荧光屏观察,通过计算机实现高分辨的TEM, STEM, 和SE 图像观察。高度自动化设计和即为人性化操作导航,使得操作透射电镜不再需要非常专业的培训。 最适合半导体企业对于简单高速分析的实际需求。
  • 网络直播|2022年扫描电镜自动化、智能化成果大赏(含案例集锦)
    随着 SEM 技术的不断成熟,人们对扫描电镜(SEM)的要求也越来越复杂,比如增加更复杂的图像处理算法,提高分析大量数据的能力等。经过调查发现,人们对于 SEM 自动化的期待主要有 3 个:消除人为误差,节省时间和成本,在海量数据中快速找到目标。基于这些用户需求,我们开发了哪些自动化和智能化的解决方案呢?做汽车 / 锂电清洁度分析或钢铁夹杂物分析的您,是否碰到过下面的问题? 传统的分析方法只能提供大颗粒灰尘和碎片的形貌 光镜成像分辨率低 无法获取到元素成分信息Phenom ParticleX 清洁度/夹杂物分析系统 1. 提高检测效率,快速反馈样品差异性 自动化分析可以显著提升杂质分析速度,其检测速度高于人工 10 倍以上。由于检测效率的提升,可以将生产中存在的质量问题快速反馈给现场,进而快速提升产品质量。 2. 提高检测准确性,精确、客观反映样品质量问题 自动化分析,可以避免人工统计的主观性,也可以避免使用人员疲惫、情绪波动等带来的误差。另外,自动化分析可以获得大量数据,更能反映产品质量。 3. 降低研究人员劳动强度,解放劳动力 由于分析过程完全自动化,操作者只需把样品放进系统,点击开始按钮即可,之后可以干其他更有创造性的工作。并且该系统可以隔夜分析,将夜间时间充分利用。4. 标准化检测流程,提升产品稳定性 自动化分析更容易制定严格的标准化流程,进而提升产品稳定性。 相关阅读 1. 锂电行业都在关注丨电池材料清洁度检测新方案 2. 钢铁夹杂物的高效检测方法 3. 汽车清洁度分析中,不同种类颗粒的危害性分析 羊毛羊绒检测行业的您,是否碰到下面的问题?1. 样品检测效率低下 2. 操作人员视觉疲劳 3. 缺乏丰富鉴定经验 4. 专家主观判断差异FiberID 智能化纤维检测系统1. 高效的一键式分析 将制备好的样品放入显微镜中,设备就可以自动地帮用户完成样品自动扫描。 2. 高精度的检测结果 服务器依靠图像识别和深度学习的算法对样品进行逐一分析和判断。 3. 降低研究人员劳动强度,解放劳动力 自动计算纤维数量、识别纤维的种类并测量平均直径,并一键生成专业的检测报告。 4. 人工复检双重把关 人工复检功能,用户登录复检平台,可对软件分析完成的每一根纤维进行核验和修正。 相关阅读兰波科技与鄂尔多斯集团达成战略合作,推动纺织业走向智能化 法医硅藻检测行业的您,是否碰到下面的问题? 1. 硅藻种类多,形态复杂,人工检测准确率低,效率低 2. 离心法导致硅藻损失,检出率低 3. 光学显微镜漏检DiatomAI 全自动硅藻检测系统 1. 自动化程度高 硅藻自动扫描软件 + 人工智能硅藻自动识别软件,可最大程度实现硅藻分析的自动化。 2. 自动处理多个样品 一次性完成多个样品的设置,系统预设,实现夜间无人值守扫描,节约人力。 3. 覆盖率高,适应性强 多水域样品训练,各种类硅藻覆盖,适应泥沙、杂质含量较多的环境。 4. 人工智能让检测更高效 平均分析一张 1K 图片的速度为 0.05 秒,相对于人眼识别,工作效率提高 10 倍以上。 5. 检测结果可靠 高检出率、低检错率,不断优化的深度学习算法模型,有效防止漏检、误判。 相关阅读硅藻的自白 关于其他定制开发功能:扫描电镜是通用形貌和成分表征工具,然而每个行业都有不同的测试需求,依托强大的技术积淀以及研发能力,我们能根据您的要求和方法,开发定制解决方案。 2022 年扫描电镜自动化、智能化成果大赏直播预告
  • 全自动扫描电镜成像分析在优化电池正极材料质量管理中的应用
    BATTERY电动汽车电池组由数千个单独的电池组成,这些电池的每个电极都包含着数百万个颗粒。 在充电和放电过程中,重要的是这些颗粒要一同发挥作用。正极材料及其前驱体的粒径分布和微观结构对电池的能量密度和安全性至关重要,这就意味着,在生产过程中需要严格监控这些颗粒的质量。扫描电子显微镜(SEM)用于制造过程质量控制,能够识别原材料及其中间产物的质量波动。SEM 能够提供直观全面的形态统计结果,在正极颗粒的质量控制过程中发挥着重要作用。在本文中,对 NCM 正极及其前驱体使用了自动化 SEM 的检测方法,向研究人员展示了该方法是如何帮助正极材料生产商优化其质量检查(QC)工序的。这一自动化的解决方案有望通过提高工厂生产力,并节省大量成本。图1. 含镍正极材料的制造工艺示意图SEM 在正极材料 QC 工序中的应用案例图 1 显示了 NCM 正极粉末的生产过程。NCM 正极材料是将锂盐与前驱体混合后烧结(通常通过水热法和共沉淀法制备),烧结后,再将团聚的颗粒研磨粉碎成需要的粒径。NCM 正极前驱体颗粒的质量控制NCM 颗粒的最终形态和粒径取决于其前驱体颗粒的粒径以及烧结的过程,这就意味着在前驱体生产过程中控制前驱体的质量至关重要。质检人员在前驱体质量控制过程中测定两个主要的结构特征:尺寸分布和表面结构。通常,具有窄粒径分布的前驱体可以在更短的时间内锂化,从而获得更好的结晶度。窄的粒径分布和良好的层结构也代表着更好的电化学性能。图 2 显示了通过不同合成工艺生产的前驱体颗粒的 SEM 图。如图 2a 所示,具有宽粒径分布的前驱体颗粒直径范围约 4.5~13.6µ m。图 2b 显示了窄粒径分布且具有多孔表面结构的前驱体颗粒。(图中测量粒径尺寸和分布的软件为 Phenom ParticleMetric )图2. 不同的合成条件下的 NCM 前驱体 a)具有宽粒径粒径分布的前驱体颗粒b)具有窄粒径分布和多孔结构的前驱体颗粒NCM 正极材料的质量控制一次和二次颗粒特性的表征在 NCM 正极材料质量控制过程中发挥着重要作用。如图 3 所示,NCM 正极颗粒通常由许多一次晶体颗粒组成为球状多晶颗粒(称为二次颗粒)。图3. 具有不同一次晶体颗粒尺寸的多晶 NCM 颗粒在进行充电和放电时,每个一次晶体颗粒经历锂离子的嵌入和脱嵌入时,正极材料会发生二次颗粒破裂。在这个过程中,每个一次晶体颗粒的体积都会发生变化,这是造成颗粒裂开的主要原因。二次颗粒破裂加剧了电池内部反应,并缩短了电池的寿命周期。因此,一次晶体颗粒的表征对于整个 NCM 材料分析至关重要。图4. 由 Phenom ParticleMetric 软件测量的多晶 NCM 颗粒,显示分布着大量的二次颗粒图 4 显示了具有宽的二次粒径分布的 NCM 颗粒,这导致了较低的能量密度。总的来说,确保前驱体的粒径大小在预期值内,能够提高最终正极粉末符合规范的可能性。同时,不符合质量控制标准的前驱体颗粒可以回收再加工,从而降低制造成本。SEM 可以提供一次和二次颗粒粒径的信息,能够帮助制造商在烧结过程中优化关键参数。烧结后,将团聚的颗粒粉碎并研磨成单个颗粒。图 5a 显示了颗粒分散度不足的案例,而图 5b 则显示了过度分离导致颗粒破碎的案例。图 5c 则展示了颗粒高度团聚的案例,此情况是制造单晶正极材料时烧结温度过高的结果。这种团聚使颗粒比多晶材料更难分散。缺乏均匀性、分散不足或过度破碎都会对颗粒的电化学性能产生负面影响。SEM 可以清晰地显示研磨后的颗粒,有助于生产尺寸均匀的颗粒并优化该生产过程。图5. a)团聚的多晶颗粒 b)过度分离的颗粒 c)高度团聚的单晶颗粒SEM 应用于 QC 工序中传统的 SEM 用于 QC,需要检查一个样品中的多个位置,以确保结果具有普遍性。通常,需要不同放大倍数的 SEM 图像,高倍 SEM 图像显示详细的微观结构(例如,前驱体中的层状结构、一次晶体颗粒),而低倍 SEM 图像显示了整体颗粒特征(例如,尺寸、分布、圆度等)。获取这些多幅图像需要进行以下操作:加载样本导航到所需位置调整焦点、亮度、对比度等。获取不同放大倍数的图像根据需要重复步骤 2 - 4每日生产数吨材料的制造厂可能每天需要测试数百个样品。这意味着检测人员需要连续数小时重复单调的操作,这样很容易出现人为错误。图6. 传统的 SEM 成像工作流程与 Phenom XL 台式 SEM 的自动成像工作流程对比自动成像的工作流飞纳电镜 Phenom XL G2 提供了自动成像工作流,AutoScan 软件可以在加载样品后自动获取数据。该设备一次最多可容纳 36 个样品,每个样品能够在不同的位置以不同的放大倍数成像。整个过程可以轻松实现定制化工作流程。例如,正极原材料的标准质量控制可能需要对每个样品上的 5 个不同位置进行 1k、5k 和 10k 的放大倍数分析,并且要求对样品的微观结构进行清晰成像。手动操作 36 个样品,这将需要操作人员重复数百次图 6 所示的步骤,大约花费 3-4 小时才能完成。而 Phenom XL G2 自动化的工作流程只需要用户花费 10 分钟进行输入设置参数即可,这样可以为其他工作腾出宝贵的时间。SEM 可以在无人值守的情况下自动稳定运行,提高了检测效率,从而达到减小误差,提高生产率的效果。基于 AutoScan 软件的自动化成像AutoScan 软件基于Phenom 编程接口(PPI)。使用 AutoScan 软件,飞纳电镜可以根据用户的指令,对每个样品的不同位置以及不同位置下的多个放大倍数进行自动拍照成像。图7. AutoScan 软件用户界面该自动化程序可以每周七天、每天 24 小时运行。自动化的程序也提高了 Phenom 台式电镜的可操作性,可以获取海量数据,为他们的分析提供可靠的数据基础。进一步提升图像分析能力的软件ParticleMetric 飞纳颗粒统计分析软件为了进一步进行自动化粒径分析,可以将图像直接导入 Phenom ParticleMetric 软件,该软件可以自动分析图像并计算统计颗粒形态信息。分析完成后立即生成报告,包括各种颗粒性质和统计数据。图 8 显示了单晶 NCM 样品的 ParticleMetric 软件分析界面。自动粒径分布表明平均粒径为 2µ m。图8. 使用 Phenom ParticleMetric 软件对单晶 NCM 样品分析的用户界面。A)使用的所有图像的列表项目B)已识别的颗粒进行着色C)已识别颗粒的详细信息列表D)所有颗粒的统计信息E)可视化数据均可以进行自定义总结在本文中,介绍了扫描电镜(SEM)在正极材料质量控制中的作用。Phenom XL G2 台式电镜提供的自动化成像工作流,能够进行自动图像采集和分析,优化质量控制过程,从而降低生产成本并提高生产效率。飞纳电镜 Phenom XL G2 与 AutoScan 软件相结合,可以自动获取海量 SEM 图像在 ParticleMetric 软件中对 SEM 图像进行分析,实现关键颗粒信息的可视化自动化 SEM 成像工作流程同样可以应用于电池生产中使用的其他原材料的质量控制AutoScan 软件和 ParticleMetric 软件,从原材料的颗粒形态出发,为电池原材料生产商解决了海量拍照和颗粒统计的烦恼。但是,原材料或者生产过程中引入的杂质,同样严重影响电池的电化学性能,正、负极杂质颗粒都有可能刺穿隔膜,造成安全隐患。因此,对于原材料或者生产过程中的异物监控也是品控中的重要课题,在下期文章中,我们将重点介绍电池异物检测的解决方案 —— Phenom ParticleX 锂电清洁度检测系统。“参考文献ReferenceXu, Zhongling et al.“Effects of precursor, synthesis time and synthesis temperature on the physical and electrochemicalproperties of Li(Ni1&minus x&minus yCoxMny)O2cathode materials.”Journal of Power Sources 248, 180-189 (2014)Hietaniemi, Marianna et al.“Effect of precursor particle size and morphology on lithiation of Ni0.6Mn0.2Co0.2(OH)2.”Journal of AppliedElectrochemistry 51:11, 1545-1557 (2021)Langdon, Jayse, and Arumugam Manthiram.“A perspective on single-crystal layered oxide cathodes for lithium-ion batteries.”Energy StorageMaterials 37, 143-160 (2021)
  • 飞纳电镜|锂电池全自动杂质分析方案助力锂电子电池工艺优化
    2021 年 7 月 14 日 - 16 日,以“锂电安全”为主题的第四届全国锂离子电池安全性技术研讨会在江苏省苏州市张家港隆重举行。 本次会议由清华大学核研院锂离子电池实验室和清华大学-张家港氢能与先进锂电技术联合研究中心共同发起组织并主办,由清华大学核研院何向明老师当任会议主席,清华大学王莉老师、刘凯老师和冯旭宁老师当任会议副主席。飞纳电镜的应用技术专家与来自全国新能源、汽车、船舶、电子等行业代表展开深入交流,探讨电子显微分析技术在分析检测领域的应用。 无论是正极材料,还是负极材料,一旦在原材料或者生产过程中引入杂质元素,这些杂质不仅会降低其中活性材料的比例,还会催化电极材料与电解液的副反应,甚至穿刺隔膜,严重影响电池的电化学性能,造成安全隐患。因此,严格把控锂电池的清洁度以及对杂质元素进行有效分析,至关重要。就此飞纳电镜针对锂电池行业的这一痛点,会上为大家分享了飞纳全自动锂电池杂质分析方案。 会议采取演讲加讨论的会议形式。来自清华大学、中科院青岛能源所、上海交通大学、中国科技大学、武汉理工大学、华东理工大学、中电院安全技术研究中心、比亚迪、CATL、ATL、莱茵技术有限公司、华为技术有限公司的 330 余位锂电领域的专家、学者和企业研发人员参加了本次会议。会议开幕式由清华大学锂离子电池实验室主任何向明老师主持,彰显了清华大学在锂离子电池安全性研究方面的突出地位和鲜明特色。 清华大学核研院何向明老师 清华大学王莉老师 会议围绕锂离子电池安全性问题根本起因及安全技术研发出发,从电池热失控分析、关键电池材料改进和研发进展、电池安全性设计与制造,安全测试评估以及电池安全使用等多个视角,30 位专家学者分享了他们的最新研究成果与科研理念。在为期一天半的会议中,会场充满了浓郁的学术氛围,参会代表踊跃提问,专家学者细致耐心解答,大家收获到的不只是充分的交流,还有珍贵的友谊和扎实的合作。本次研讨会的成果将推进锂电产业与技术的合作与发展,进一步提升我国安全性锂离子电池的研发与生产水平。
  • 昆明盘龙江硅藻研究:基于飞纳台式扫描电镜的硅藻全自动检测系统
    硅藻是一种水生单细胞生物,广泛分布于江河、湖泊、水库、池塘等自然水体,由于硅壳由二氧化硅和果胶组成,硅藻的外形具有稳定性、特定性和多样性、是进行硅藻种属鉴定的重要依据。研究表明硅藻的生长和分布具有较强的地域性,对不同地域的硅藻在种群分布和外形特征上均会出现不同的地域特点。 昆明盘龙江流域水体中的硅藻研究过去停留在光学显微镜检测,反映出的形态特征有限,区别判断准确率不高。 本次研究,昆明盘龙江的研究人员采用复纳科技扫描电镜的硅藻全自动检测系统对盘龙江流域的硅藻进行全自动扫描识别,定期跟踪检测和分析,该研究填补了硅藻形态、分类等多方面的空白。——该项目使用 GA / T1662-2019《法庭医学硅藻检验技术规范微波消解-真空抽滤-显微镜法》处理后,分析水样内硅藻形态、种属、并通过硅藻全自动检测系统拍摄扫描电镜图像,依据经典分类系统,主要基于硅藻形态学特征,包括壳面的形状、隔片、和伪隔片之有无、眼点的有无、锥突之有无、线纹和点纹的分布和形式、壳缝的结构、环带的特征等。参考《中国淡水藻志》,将硅藻确定为门,其下分中心纲和羽纹纲,纲下分目、科、属、种的分类系统,通过扫描电镜以及一系列的科学研究,将盘龙江流域硅藻进行了系统翔实的分类,可作为生态环保,水质检测,污染治理,以及法医研究溺亡诊断的参考资料。 该书对硅藻的分类如下图所示,每目下还对科、属进行了详细的分类,可作为硅藻研究分类标准的参考资料,详情请查阅原著。 复纳科学仪器(上海)有限公司(以下简称“复纳科技”)自 2018 年开始硅藻检测自动化系统的研发工作,相继推出 DiatomScope 自动化扫描系统,DiatomAI 人工智能硅藻识别系统,该系统具有以下优势:系统基于飞纳台式扫描电镜,具有防磁防震功能,对安装环境无特殊要求。常规实验室环境,仅需要一张实验桌即可安装,即使放置在高楼层,也无需担忧震动问题,为野外工作提供了可能性;采用高亮度、长寿命 CeB6 晶体灯丝,不仅能轻松拍摄出高清硅藻电镜图像,还免去了频繁更换灯丝的烦恼,省心又省力;无人值守、多任务并行自动化程序,轻松设置扫描参数(样品类型、放大倍数、扫描模式等),系统自动完成多样品、多放大倍数的扫描工作,极大的节省了人工观察样品的时间;大样品仓室,100*100mm,一次可放置 9 个直径一英寸样品并完成自动拍摄;具有精确的位置追溯功能,方便硅藻定位及复查;极快的 AI 速率,完成自动统计与分类工作。 在《昆明盘龙江生态环境硅藻学图谱》编撰过程中,复纳科技硅藻全自动检测系统以其独特的产品优势,提供了有力的技术支持,以及数量庞大、质量高清的原始图像资料,助力盘龙江硅藻研究。此外,复纳科技也希望与更多硅藻相关研究单位进行密切合作,促进硅藻自动化检测系统的完善与升级,帮助用户实现更高效、更智能、更准确的硅藻检测目标。 以上案例图片,均出自《昆明盘龙江生态环境硅藻学图谱》,查看更多种类硅藻图片,可自行订阅: 硅藻研究在公安刑事技术方面,为水中尸体的死因判明、溺水死因判断提供重要参考依据,对提升法医学水平具有重大意义。本书介绍了硅藻学的知识和概念,硅藻的常用分类方法,硅藻研究的运用和作用,硅藻对生态的影响,以及应用人工智能技术对硅藻形态进行自动识别和计数的新方法、生态环境建模的相关知识。展示了昆明市盘龙江流域硅藻研究状况,以及科研团队开展云南省刑事科学技术重点实验室创新研究基金计划项目(YNPC- S202007)的研究成果。
  • 飞纳电镜——台式扫描电镜的领跑者
    p style=" line-height: 1.75em " span style=" line-height: 1.75em " & nbsp & nbsp & nbsp & nbsp 在刚过去的 2016 年 3 月和即将过去的 2016 年 4 月,飞纳台式扫描电镜接连在各大扫描电镜的招标项目中中标。通过参与 3,4 月招投标项目,飞纳台式扫描电镜用户稳定增长,这些新增用户有,昆明理工大学,广东温氏大华农生物科技有限公司,东华大学,邵阳学院,河南工业大学,空军勤务学院,广州医科大学,北京科技大学,中山市武汉理工大学先进工程技术研究院,中国科学院理化技术研究所等。 /span br/ /p p style=" line-height: 1.75em text-align: center " span style=" line-height: 1.75em " img src=" http://img1.17img.cn/17img/images/201604/insimg/3d6ec3a9-8774-429a-9835-3c1ee3cf1331.jpg" title=" 1.jpg" / /span /p p style=" line-height: 1.75em "   飞纳电镜何以担任领跑者的角色,从产品本身一定可以找到答案。 /p p style=" line-height: 1.75em "    strong 硬件配置 /strong /p p style=" line-height: 1.75em "   1. 光学显微镜 /p p style=" line-height: 1.75em "   飞纳电镜在台式扫描电镜中唯一配备了高质量彩色光学成像系统,放大倍数20-135x,完全集成在电镜主机中,能够很轻松的从彩色光学图像中找到需要观察的位置。 /p p style=" line-height: 1.75em text-align: center " img src=" http://img1.17img.cn/17img/images/201604/insimg/4178b4ef-5763-4f86-a678-8e36ba08f60a.jpg" title=" 2.jpg" / /p p style=" line-height: 1.75em "   2. 自动马达样品台 /p p style=" line-height: 1.75em "   飞纳电镜内部标配自动马达,是和光学导航系统联用的一种装置。操作界面的右上方是彩色光学导航窗口,右下方是低倍电子导航窗口,左侧是实时成像的窗口。通过鼠标点击三个窗口任意感兴趣的位置,自动马达样品台会将该位置移至左侧实时成像窗口的中央。自动马达样品台结合光学导航系统,可以显著提高测样效率,降低灯丝消耗,节约灯丝寿命。 /p p style=" line-height: 1.75em text-align: center " img src=" http://img1.17img.cn/17img/images/201604/insimg/ca29e0d8-f88e-4949-b3df-0d2fdf16c348.jpg" title=" 3.jpg" / /p p style=" line-height: 1.75em "   3. 灯丝材料 /p p style=" line-height: 1.75em "   飞纳电镜统一采用的灯丝材料是六硼化铈 CeB6 灯丝,特点是长寿命,高亮度,低色差,最低寿命 1500h。飞纳电镜是唯一采用 CeB6 灯丝的台式扫描电镜,其他厂家的台式扫描电镜采用的是传统的钨灯丝,钨灯丝的寿命约为 40-80 小时。飞纳电镜采用全新材料的灯丝是一项突破性的尝试,也面临了巨大的技术挑战。飞纳电镜的研发人员全部来自老牌电镜厂家飞利浦,飞利浦电子光学部门的原班人马成功解决了六硼化铈要求的高真空与样品仓的压差问题。 /p p style=" line-height: 1.75em "   灯丝的信噪比 是决定一个扫描电镜分辨率的核心因素,目前有三种灯丝 /p p style=" line-height: 1.75em "   1、钨灯丝(W) /p p style=" line-height: 1.75em "   2、六硼化镧(LaB6)或者六硼化铈 (CeB6)灯丝 /p p style=" line-height: 1.75em "   3、场发射(FEG)灯丝 /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201604/uepic/74bfc61a-8447-4fc2-8f30-dc7bdde74540.jpg" style=" float:none " title=" 4.png" / /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201604/insimg/f9f4cdca-7310-499f-8aa6-3192f2dc4336.jpg" style=" float:none " title=" 5.png" / /p p style=" line-height: 1.75em "   strong  操作体验 /strong /p p style=" line-height: 1.75em "   1. 找样 /p p style=" line-height: 1.75em text-align: center "    img src=" http://img1.17img.cn/17img/images/201604/insimg/af6469a9-9c47-4e67-b6d4-f485d232167d.jpg" title=" 6.png" / /p p style=" line-height: 1.75em " & nbsp & nbsp & nbsp & nbsp 2. 防震性 /p p style=" line-height: 1.75em "   在移动的车厢可以测量样品,是现场检测的好伙伴 /p p style=" line-height: 1.75em text-align: center " img src=" http://img1.17img.cn/17img/images/201604/insimg/2c5e3b88-8a2d-4074-9776-e33811aefe9b.jpg" title=" 7.png" / /p p style=" line-height: 1.75em "   3. 制样进样 /p p style=" line-height: 1.75em "   飞纳电镜制样进样、操作简单,一步到位 /p p style=" line-height: 1.75em text-align: center " img src=" http://img1.17img.cn/17img/images/201604/insimg/313dba93-7093-42e8-8cce-bf6002f9c3a7.jpg" title=" 8.jpg" / /p p style=" line-height: 1.75em "   4. 抽真空时间 /p p style=" line-height: 1.75em "   飞纳电镜抽真空 15 秒以内,平均 2 分钟左右拍完一个样品 /p p style=" line-height: 1.75em text-align: center " img src=" http://img1.17img.cn/17img/images/201604/insimg/f80fa736-3700-415c-bf8a-9a20b47ebe6d.jpg" title=" 9.png" / /p p style=" line-height: 1.75em "   强大的硬件实力和良好操作的操作体验,成为客户选择飞纳电镜的主要原因。除了这些,灵活的软件有时是吸引客户的加分点。例如,可以和飞纳台式扫描电镜集成一体的能谱,其他软件如全景拼图,颗粒系统,孔径测量系统,纤维系统,3D粗糙度,远程联网检测等。 /p p style=" line-height: 1.75em "   飞纳台式扫描电镜是一个开放的系统,创新用不止步,相信未来会有更多更强大的软件满足客户的科研需要。 /p p br/ /p
  • 日立透射电镜HT7800 病毒颗粒全自动分析方案
    p style=" text-indent: 2em " span style=" background-color: rgb(255, 255, 255) color: rgb(51, 51, 51) font-family: -apple-system-font, BlinkMacSystemFont, " helvetica=" " pingfang=" " hiragino=" " sans=" " microsoft=" " yahei=" " letter-spacing:=" " text-align:=" " 2019年12月,中国湖北省武汉市发生了一系列急性呼吸道疾病,即新型冠状病毒感染肺炎(novel coronavirus pneumonia,NCP)。这种疾病从武汉迅速传播到全国各地甚至是其他国家。 /span /p p style=" text-align: center" img style=" max-width: 100% max-height: 100% width: 450px height: 347px " src=" https://img1.17img.cn/17img/images/202002/uepic/527a8f77-67de-4d1d-960d-565d6e372dd9.jpg" title=" 1.jpg" alt=" 1.jpg" width=" 450" height=" 347" border=" 0" vspace=" 0" / /p div class=" rich_media_content " id=" js_content" style=" margin: 0px padding: 0px overflow: hidden color: rgb(51, 51, 51) font-size: 17px overflow-wrap: break-word text-align: justify position: relative z-index: 0 font-family: -apple-system-font, BlinkMacSystemFont, " helvetica=" " pingfang=" " hiragino=" " sans=" " microsoft=" " yahei=" " letter-spacing:=" " white-space:=" " background-color:=" " visibility:=" " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important font-size: 16px " section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center justify-content: center " section style=" margin: 0px padding: 10px 15px max-width: 100% box-sizing: border-box display: inline-block width: 677px vertical-align: top height: auto overflow-wrap: break-word !important " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: flex flex-flow: row nowrap " section style=" margin: 0px padding: 0px 0px 0px 10px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: middle width: auto align-self: center flex: 100 100 0% height: auto z-index: 2 " section powered-by=" xiumi.us" style=" margin: 20px 0px 10px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: left justify-content: flex-start " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block min-width: 10% vertical-align: top transform: matrix(1, 0, -0.2, 1, 0, 0) " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important transform: translate3d(-10px, 0px, 0px) " section style=" margin: 0px padding: 10px 20px 10px 10px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 150.302px vertical-align: top line-height: 1 letter-spacing: 2px background-image: linear-gradient(90deg, rgb(231, 59, 112) 0%, rgba(231, 59, 112, 0) 100%) " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important transform: matrix(1, 0, 0.2, 1, 0, 0) display: flex flex-flow: row nowrap " section style=" margin: 0px padding: 0px 10px 0px 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: auto vertical-align: bottom align-self: flex-end flex: 100 100 0% height: auto " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: justify color: rgb(255, 255, 255) font-size: 24px letter-spacing: 0px line-height: 1.5 " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " 共同战疫 /strong /p /section /section /section /section /section /section /section /section /section /section /section /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important align-items: center display: flex " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: top width: auto flex: 0 0 auto border: 0px none rgb(76, 68, 71) border-radius: 1px overflow: hidden align-self: flex-start " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center transform: translate3d(-10px, 0px, 0px) " section style=" margin: 0px padding: 3px 5px 3px 20px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block min-width: 10% vertical-align: top transform: matrix(1, 0, -0.2, 1, 0, 0) border: 0px solid rgb(76, 68, 71) border-radius: 0px background-color: rgb(231, 59, 112) " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important font-size: 14px color: rgb(255, 255, 255) " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " 速报 /strong /p /section /section /section /section section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: top width: auto flex: 100 100 0% border-width: 0px height: auto box-shadow: rgb(0, 0, 0) 0px 0px 0px align-self: flex-start " section powered-by=" xiumi.us" style=" margin: 3px 0px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important font-size: 15px line-height: 1.5 letter-spacing: 0px color: rgb(76, 68, 71) " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " Hitachi HT7800 病毒颗粒全自动分析方案 /strong /p /section /section /section /section /section section powered-by=" xiumi.us" style=" margin: 10px 0px -16px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: left " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 150px height: 30px vertical-align: top overflow: hidden " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important vertical-align: middle display: inline-block line-height: 0 " img data-ratio=" 0.140625" data-src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrDryya3jk9oBqtyiauXs4uNNUw9chQH4VtcFibQKeHZlSibxN9FypnVWSQ/640?wx_fmt=gif" data-type=" gif" data-w=" 320" _width=" 320px" class=" __bg_gif" src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrDryya3jk9oBqtyiauXs4uNNUw9chQH4VtcFibQKeHZlSibxN9FypnVWSQ/640?wx_fmt=gif& tp=webp& wxfrom=5& wx_lazy=1" data-order=" 0" data-fail=" 0" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: auto !important vertical-align: middle width: 320px !important visibility: visible !important " / /section /section /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 10px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 677px vertical-align: top border-left: 1px solid rgb(239, 42, 64) border-bottom-left-radius: 0px border-right: 1px solid rgb(239, 42, 64) border-top-right-radius: 0px " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " p style=" text-align: center" img style=" max-width: 100% max-height: 100% width: 300px height: 287px " src=" https://img1.17img.cn/17img/images/202002/uepic/9e371568-6dd7-431b-aa39-3aee67545bdb.jpg" title=" 0.png" alt=" 0.png" width=" 300" height=" 287" border=" 0" vspace=" 0" / /p /section /section /section section powered-by=" xiumi.us" style=" margin: -13px 0px 10px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: right " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 150px height: 30px vertical-align: top overflow: hidden " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important vertical-align: middle display: inline-block line-height: 0 " img data-ratio=" 0.140625" data-src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrMp3u1dxygVMu0dgnnEibLeGsw0WuCg7Mib37VC9d3DAA0QqPibCEMkiaqA/640?wx_fmt=gif" data-type=" gif" data-w=" 320" _width=" 320px" class=" __bg_gif" src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrMp3u1dxygVMu0dgnnEibLeGsw0WuCg7Mib37VC9d3DAA0QqPibCEMkiaqA/640?wx_fmt=gif& tp=webp& wxfrom=5& wx_lazy=1" data-order=" 1" data-fail=" 0" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: auto !important vertical-align: middle width: 320px !important visibility: visible !important " / /section /section /section /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center font-size: 18px letter-spacing: 3px line-height: 1.8 color: rgb(218, 87, 12) text-shadow: rgb(255, 211, 171) 0px 1.8px, rgb(255, 211, 171) 1.3px 1.3px, rgb(255, 211, 171) 1.8px 0px, rgb(255, 211, 171) 1.3px -1.3px, rgb(255, 211, 171) 0px -1.8px, rgb(255, 211, 171) -1.3px -1.3px, rgb(255, 211, 171) -1.8px 0px, rgb(255, 211, 171) -1.3px 1.3px " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " 日立120kV TEM HT7800 /p /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 透射电子显微镜(TEM)是观察病毒形态的最佳工具。日立透射电镜HT7800有高反差和高分辨两种模式,能同时兼顾生物组织的大视野观察和病毒的高分辨观察。其 Specimen Search (自动颗粒搜索功能)可以自动寻找病毒颗粒,并进行记录统计。 /p /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 15px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important align-items: center display: flex " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: bottom width: auto flex: 1 0 1px " section powered-by=" xiumi.us" style=" margin: 0.5em 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: 1px background-image: linear-gradient(90deg, rgba(218, 235, 255, 0.4) 0%, rgb(182, 216, 255) 100%) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important line-height: 0 color: rgba(0, 0, 0, 0) width: 0px " svg viewbox=" 0 0 1 1" style=" vertical-align:top " text _ /text /svg /section /section /section /section section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: bottom width: auto flex: 1 0 1px " section powered-by=" xiumi.us" style=" margin: 0.5em 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important transform: translate3d(0px, 0px, 1px) rotateY(180deg) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: 1px background-image: linear-gradient(90deg, rgba(218, 235, 255, 0.4) 0%, rgb(182, 216, 255) 100%) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important line-height: 0 color: rgba(0, 0, 0, 0) width: 0px " svg viewbox=" 0 0 1 1" style=" vertical-align:top " text _ /text /svg /section /section /section /section /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 病毒是一种没有细胞结构的特殊生物。它们的结构非常简单,由蛋白质外壳和内部的遗传物质组成。病毒不能独立生存,必须生活在其他生物的细胞内,一旦离开活细胞可就不表现任何生命活动迹象。病毒个体极其微小,光学显微镜由于可见光波长的限制,只能看到某些病毒的包涵体,绝大多数的病毒要在电子显微镜下才能看到。透射电镜观察病毒有两种方式,第一种是在超薄切片中观察被感染细胞内病毒的形状、大小、排列及其组装、成熟过程过程的形态特征;第二种是将病毒进行分离纯化,使用磷钨酸、醋酸铀等电子致密物对病毒进行负染,观察病毒的超微结构。 /p /section section powered-by=" xiumi.us" style=" margin: 10px 0px -16px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: left " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 150px height: 30px vertical-align: top overflow: hidden " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important vertical-align: middle display: inline-block line-height: 0 " img data-ratio=" 0.140625" data-src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrDryya3jk9oBqtyiauXs4uNNUw9chQH4VtcFibQKeHZlSibxN9FypnVWSQ/640?wx_fmt=gif" data-type=" gif" data-w=" 320" _width=" 320px" class=" __bg_gif" src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrDryya3jk9oBqtyiauXs4uNNUw9chQH4VtcFibQKeHZlSibxN9FypnVWSQ/640?wx_fmt=gif& tp=webp& wxfrom=5& wx_lazy=1" data-order=" 2" data-fail=" 0" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: auto !important vertical-align: middle width: 320px !important visibility: visible !important " / /section /section /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 10px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 677px vertical-align: top border-left: 1px solid rgb(239, 42, 64) border-bottom-left-radius: 0px border-right: 1px solid rgb(239, 42, 64) border-top-right-radius: 0px " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " p style=" text-align: center" img style=" max-width: 100% max-height: 100% width: 300px height: 201px " src=" https://img1.17img.cn/17img/images/202002/uepic/2d40146b-d34c-42b5-82a9-fab2dce39d5f.jpg" title=" 3.jpg" alt=" 3.jpg" width=" 300" height=" 201" border=" 0" vspace=" 0" / /p /section /section /section /section section powered-by=" xiumi.us" style=" margin: -13px 0px 10px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: right " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 150px height: 30px vertical-align: top overflow: hidden " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important vertical-align: middle display: inline-block line-height: 0 " img data-ratio=" 0.140625" data-src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrMp3u1dxygVMu0dgnnEibLeGsw0WuCg7Mib37VC9d3DAA0QqPibCEMkiaqA/640?wx_fmt=gif" data-type=" gif" data-w=" 320" _width=" 320px" class=" __bg_gif" src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrMp3u1dxygVMu0dgnnEibLeGsw0WuCg7Mib37VC9d3DAA0QqPibCEMkiaqA/640?wx_fmt=gif& tp=webp& wxfrom=5& wx_lazy=1" data-order=" 3" data-fail=" 0" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: auto !important vertical-align: middle width: 320px !important visibility: visible !important " / /section /section /section /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center font-size: 18px letter-spacing: 3px line-height: 1.8 color: rgb(218, 87, 12) text-shadow: rgb(255, 211, 171) 0px 1.8px, rgb(255, 211, 171) 1.3px 1.3px, rgb(255, 211, 171) 1.8px 0px, rgb(255, 211, 171) 1.3px -1.3px, rgb(255, 211, 171) 0px -1.8px, rgb(255, 211, 171) -1.3px -1.3px, rgb(255, 211, 171) -1.8px 0px, rgb(255, 211, 171) -1.3px 1.3px " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " Vero细胞内的HSV病毒 /p p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " (被感染细胞的组织切片) /p /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 例如,单纯疱疹病毒(Herpes Simplex Virus,HSV)是疱疹病毒的典型代表,是一种传染性极高的病毒,在世界各地都很常见。大多数人在儿童世界就好感染HSV病毒,但是一般情况下HSV都潜伏在神经节里,人体的免疫系统会一直监视着它们。但是在感冒、疲劳、睡眠不足、压力大、紧张焦虑等因素的影响下,免疫力下降时,潜伏于体内的HSV便会大量繁殖,导致口腔、嘴角附近起泡发病,继而群集、糜烂、结痂。这个过程就是我们俗称的嘴唇起火泡。上图就是HSV病毒在细胞内复制组装,并穿越细胞膜的透射电镜照片。 /p /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 15px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important align-items: center display: flex " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: bottom width: auto flex: 1 0 1px " section powered-by=" xiumi.us" style=" margin: 0.5em 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: 1px background-image: linear-gradient(90deg, rgba(218, 235, 255, 0.4) 0%, rgb(182, 216, 255) 100%) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important line-height: 0 color: rgba(0, 0, 0, 0) width: 0px " svg viewbox=" 0 0 1 1" style=" vertical-align:top " text _ /text /svg /section /section /section /section section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: bottom width: auto flex: 1 0 1px " section powered-by=" xiumi.us" style=" margin: 0.5em 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important transform: translate3d(0px, 0px, 1px) rotateY(180deg) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: 1px background-image: linear-gradient(90deg, rgba(218, 235, 255, 0.4) 0%, rgb(182, 216, 255) 100%) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important line-height: 0 color: rgba(0, 0, 0, 0) width: 0px " svg viewbox=" 0 0 1 1" style=" vertical-align:top " text _ /text /svg /section /section /section /section /section /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center font-size: 18px letter-spacing: 3px line-height: 1.8 color: rgb(218, 87, 12) text-shadow: rgb(255, 211, 171) 0px 1.8px, rgb(255, 211, 171) 1.3px 1.3px, rgb(255, 211, 171) 1.8px 0px, rgb(255, 211, 171) 1.3px -1.3px, rgb(255, 211, 171) 0px -1.8px, rgb(255, 211, 171) -1.3px -1.3px, rgb(255, 211, 171) -1.8px 0px, rgb(255, 211, 171) -1.3px 1.3px " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " 对病毒的诊断和研究都离不开电子显微 /p p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " span style=" color: rgba(0, 0, 0, 0) font-size: 16px letter-spacing: 0.544px " _ /span 镜,透射电镜是确定各种病毒形态结构的最有用工具。 /p /section /section section powered-by=" xiumi.us" style=" margin: 10px 0px -16px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: right " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 150px height: 30px vertical-align: top overflow: hidden " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important vertical-align: middle display: inline-block line-height: 0 border-width: 0px " img data-ratio=" 0.140625" data-src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrDryya3jk9oBqtyiauXs4uNNUw9chQH4VtcFibQKeHZlSibxN9FypnVWSQ/640?wx_fmt=gif" data-type=" gif" data-w=" 320" _width=" 320px" class=" __bg_gif" src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrDryya3jk9oBqtyiauXs4uNNUw9chQH4VtcFibQKeHZlSibxN9FypnVWSQ/640?wx_fmt=gif& tp=webp& wxfrom=5& wx_lazy=1" data-order=" 4" data-fail=" 0" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: auto !important vertical-align: middle width: 320px !important visibility: visible !important " / /section /section /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 10px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 677px vertical-align: top border-left: 1px solid rgb(239, 42, 64) border-bottom-left-radius: 0px border-right: 1px solid rgb(239, 42, 64) border-top-right-radius: 0px " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " p style=" text-align: center" img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202002/uepic/f8bb1d19-cdef-4568-9eae-c1a535f0f528.jpg" title=" 4.jpg" alt=" 4.jpg" / /p /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center font-size: 18px letter-spacing: 3px line-height: 1.8 color: rgb(218, 87, 12) text-shadow: rgb(255, 211, 171) 0px 1.8px, rgb(255, 211, 171) 1.3px 1.3px, rgb(255, 211, 171) 1.8px 0px, rgb(255, 211, 171) 1.3px -1.3px, rgb(255, 211, 171) 0px -1.8px, rgb(255, 211, 171) -1.3px -1.3px, rgb(255, 211, 171) -1.8px 0px, rgb(255, 211, 171) -1.3px 1.3px " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " 埃博拉病毒 /strong /p /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box overflow-wrap: break-word !important text-align: center " section style=" margin: 0px padding: 10px 5px 0px 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: top width: 327.833px " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " p style=" text-align: center" img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202002/uepic/3d90abec-a68e-424c-a24d-5d3fa17d07ca.jpg" title=" 0.png" alt=" 0.png" / /p /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center font-size: 18px letter-spacing: 3px line-height: 1.8 color: rgb(218, 87, 12) text-shadow: rgb(255, 211, 171) 0px 1.8px, rgb(255, 211, 171) 1.3px 1.3px, rgb(255, 211, 171) 1.8px 0px, rgb(255, 211, 171) 1.3px -1.3px, rgb(255, 211, 171) 0px -1.8px, rgb(255, 211, 171) -1.3px -1.3px, rgb(255, 211, 171) -1.8px 0px, rgb(255, 211, 171) -1.3px 1.3px " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important text-align: center " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " SARS病毒 /strong /p /section /section /section section style=" margin: 0px padding: 10px 0px 0px 5px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: top width: 327.833px " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " p style=" text-align: center" img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202002/uepic/dcc2022a-7a55-4a70-98d7-a46d5fb7a59c.jpg" title=" 0.png" alt=" 0.png" / /p /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center font-size: 18px letter-spacing: 3px line-height: 1.8 color: rgb(218, 87, 12) text-shadow: rgb(255, 211, 171) 0px 1.8px, rgb(255, 211, 171) 1.3px 1.3px, rgb(255, 211, 171) 1.8px 0px, rgb(255, 211, 171) 1.3px -1.3px, rgb(255, 211, 171) 0px -1.8px, rgb(255, 211, 171) -1.3px -1.3px, rgb(255, 211, 171) -1.8px 0px, rgb(255, 211, 171) -1.3px 1.3px " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-align: center overflow-wrap: break-word !important " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " 艾滋病病毒 /strong /p /section /section /section /section /section /section /section section powered-by=" xiumi.us" style=" margin: -13px 0px 10px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: left " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block width: 150px height: 30px vertical-align: top overflow: hidden " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important vertical-align: middle display: inline-block line-height: 0 " img data-ratio=" 0.140625" data-src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrMp3u1dxygVMu0dgnnEibLeGsw0WuCg7Mib37VC9d3DAA0QqPibCEMkiaqA/640?wx_fmt=gif" data-type=" gif" data-w=" 320" _width=" 320px" class=" __bg_gif" src=" https://mmbiz.qpic.cn/mmbiz_gif/WQEZxwibpBpXGwqxnz7Io7XTon0A7jhSrMp3u1dxygVMu0dgnnEibLeGsw0WuCg7Mib37VC9d3DAA0QqPibCEMkiaqA/640?wx_fmt=gif& tp=webp& wxfrom=5& wx_lazy=1" data-order=" 5" data-fail=" 0" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: auto !important vertical-align: middle width: 320px !important visibility: visible !important " / /section /section /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 电镜负染技术是一种快速简便的操作程序,也是病毒性致病因子诊断的常用方法,在新病毒的发现、寻找对抗新病毒方法的工作中都做出了重要贡献。而且,在临床标本中病毒粒子数极少的情况下,电镜就成为了诊断中最重要的工具。 /p /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 15px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important align-items: center display: flex " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: bottom width: auto flex: 1 0 1px " section powered-by=" xiumi.us" style=" margin: 0.5em 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: 1px background-image: linear-gradient(90deg, rgba(218, 235, 255, 0.4) 0%, rgb(182, 216, 255) 100%) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important line-height: 0 color: rgba(0, 0, 0, 0) width: 0px " svg viewbox=" 0 0 1 1" style=" vertical-align:top " text _ /text /svg /section /section /section /section section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block vertical-align: bottom width: auto flex: 1 0 1px " section powered-by=" xiumi.us" style=" margin: 0.5em 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important transform: translate3d(0px, 0px, 1px) rotateY(180deg) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important height: 1px background-image: linear-gradient(90deg, rgba(218, 235, 255, 0.4) 0%, rgb(182, 216, 255) 100%) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important line-height: 0 color: rgba(0, 0, 0, 0) width: 0px " svg viewbox=" 0 0 1 1" style=" vertical-align:top " text _ /text /svg /section /section /section /section /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 但是由于病毒的尺寸太小,需要在很高的放大倍数、视野很小的条件下才能观察到。在病毒粒子极少时,就要求测试人员在样品中一个小区域一个小区域的逐步辨识,并且在有病毒的区域进行拍摄。如果要对病毒进行统计,还需要对整个有病毒区域进行覆盖拍摄,并将图片输入到专业的图像处理软件中进行计算。过程及其枯燥,且耗时。 /p p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 日立透射电镜HT7800的Specimen Search功能可以为这一问题提供自动化的解决方案。我们将病毒样品放进电镜,输入目标颗粒的尺寸、宽容度等参数,选择需要搜索 span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important line-height: 1.6 " 的区域,再进行一些简单的设置,电镜就可以开始自动搜寻并记录了。 /span /p p style=" text-align: center" img style=" max-width: 100% max-height: 100% width: 300px height: 212px " src=" https://img1.17img.cn/17img/images/202002/uepic/25df5879-900d-43ad-8924-abb235dc2e9a.jpg" title=" 0.png" alt=" 0.png" width=" 300" height=" 212" border=" 0" vspace=" 0" / /p /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important text-align: center " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " 选择自动搜索区域 /strong /p /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box text-align: center justify-content: flex-end overflow-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important vertical-align: middle display: inline-block line-height: 0 width: 338.5px height: auto " p style=" text-align: center" img style=" max-width: 100% max-height: 100% width: 300px height: 300px " src=" https://img1.17img.cn/17img/images/202002/uepic/63ee3902-b14e-4733-bd83-88d4bebcfdde.jpg" title=" 1.png" alt=" 1.png" width=" 300" height=" 300" border=" 0" vspace=" 0" / /p p style=" text-align: center" br/ /p /section /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-align: center overflow-wrap: break-word !important " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " 自动搜索时可跳过铜网骨架 /strong /p /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " br style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " / /p /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 这一过程实现了搜索、识别、拍摄、分析的全自动无人值守工作。由于日立电镜的高稳定性,HT7800可以长时间联系不间断的运行,对抗病毒工作提供最稳定的支持。 /p p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 自动运行完成后,我们就可以获得大量的目标颗粒照片和统计结果的表格。Specimen Search功能使用最先进是识别算法,即使比冠状病毒小10倍的蛋白颗粒,也可轻松识别: /p /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " p style=" text-align: center" img style=" max-width: 100% max-height: 100% width: 500px height: 233px " src=" https://img1.17img.cn/17img/images/202002/uepic/78499862-1670-4c25-8399-6fca44c9dec9.jpg" title=" 9.jpg" alt=" 9.jpg" width=" 500" height=" 233" border=" 0" vspace=" 0" / /p /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center font-size: 18px letter-spacing: 3px line-height: 1.8 color: rgb(218, 87, 12) text-shadow: rgb(255, 211, 171) 0px 1.8px, rgb(255, 211, 171) 1.3px 1.3px, rgb(255, 211, 171) 1.8px 0px, rgb(255, 211, 171) 1.3px -1.3px, rgb(255, 211, 171) 0px -1.8px, rgb(255, 211, 171) -1.3px -1.3px, rgb(255, 211, 171) -1.8px 0px, rgb(255, 211, 171) -1.3px 1.3px " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " 样品:负染铁蛋白颗粒 /p /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center " p style=" text-align: center" img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202002/uepic/591d4e85-d9a3-4351-8d29-1a9f1c417243.jpg" title=" 10.jpg" alt=" 10.jpg" / /p /section /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important text-align: center font-size: 18px letter-spacing: 3px line-height: 1.8 color: rgb(218, 87, 12) text-shadow: rgb(255, 211, 171) 0px 1.8px, rgb(255, 211, 171) 1.3px 1.3px, rgb(255, 211, 171) 1.8px 0px, rgb(255, 211, 171) 1.3px -1.3px, rgb(255, 211, 171) 0px -1.8px, rgb(255, 211, 171) -1.3px -1.3px, rgb(255, 211, 171) -1.8px 0px, rgb(255, 211, 171) -1.3px 1.3px " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " 蛋白颗粒统计表格 /p /section /section section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 助力新型冠状病毒研究,天美在行动。 /p p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " 众志成城,抗击疫情! /p /section section powered-by=" xiumi.us" style=" margin: 10px 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block text-align: left " span title=" " opera-tn-ra-cell=" _$.pages:0.layers:0.comps:36.title1" style=" margin: 0px padding: 0.3em 0.5em max-width: 100% box-sizing: border-box word-wrap: break-word !important display: inline-block border-radius: 0.8em 0.8em 0px 0px font-size: 14px color: rgb(255, 255, 255) background-color: rgb(95, 156, 239) " section style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " strong 天美简介 /strong /section /span /section section style=" margin: 0px padding: 10px max-width: 100% box-sizing: border-box word-wrap: break-word !important border: 1px solid rgb(95, 156, 239) border-radius: 0px 0px 0.8em 0.8em " section powered-by=" xiumi.us" style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em text-indent: 2em overflow-wrap: break-word !important " & nbsp 天美集团从事表面科学、分析仪器、生命科学设备及实验室仪器的设计、开发和制造及分销;为科研、教育、检测及生产提供完整可靠的解决方案。近年来天美集团积极拓展国际市场,先后在新加坡、印度、印尼、泰国、越南、美国、英国、法国、德国、瑞士等多个国家设立分支机构。公司亦先后收购了法国Froilabo公司、瑞士Precisa公司、美国IXRF公司、英国Edinburgh Instruments公司等多家海外知名生产企业和布鲁克公司Scion气相和气质产品生产线,以及上海精科公司天平产品线, 三科等国内制造企业、加强了公司产品的多样化。 /p /section /section /section /section /div p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box clear: both min-height: 1em overflow-wrap: break-word !important " br style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box word-wrap: break-word !important " / /p p style=" margin-top: 0px margin-bottom: 0px padding: 0px max-width: 100% box-sizing: border-box min-height: 1em text-align: right overflow-wrap: break-word !important " 【天美中国供稿】 /p
  • 高速电镜新品!国仪量子推出高速扫描电镜HEM6000
    仪器信息网讯 8月8日,国仪量子官宣推出一款专为大规模成像而生的新产品——高速扫描电子显微镜HEM6000。高速扫描电子显微镜HEM6000在大规模成像场景中,常规扫描电镜成像速度和自动化程度都无法满足应用需求。例如,在芯片结构成像应用中,需要在几周内完成数百平方毫米区域的连续拍摄;在人类脑图谱研究中,需要对百亿级神经元进行高分辨成像。对于此类场景,常规扫描电镜效率严重不足,为解决客户痛点,国仪量子推出此款专为大规模成像而生的新产品——高速扫描电子显微镜HEM6000。产品亮点HEM6000是一款可实现跨尺度大规模样品成像的高速扫描电子显微镜。采用高亮度大束流电子枪、高速电子偏转系统、高压样品台减速、动态光轴、浸没式电磁复合物镜等技术,实现了高速图像采集和成像,同时保证了纳米级分辨率。面向应用场景的自动化操作流程设计,使得大面积的高分辨率图像采集工作更高效、更智能。成像速度可达常规场发射扫描电镜的5倍以上。可广泛应用于半导体工业、生命科学、材料科学、地质科学等领域。图像采集速度:10 ns/pixel,2*100 M pixel/s加速电压:100 V~6 kV(减速模式);6 kV~30 kV(非减速模式)分辨率:1.3 nm@3 kV,SE;2.2 nm@1 kV,SE视场大小:最大视场1*1 mm2,高分辨微畸变视场32*32 um2样品台精度:重复定位精度:X ±0.6 um;Y ±0.3 um产品优势高速自动化:全自动上下样流程和采图作业,综合成像速度优于常规场发射扫描电镜的5倍;大场低畸变:跟随扫描场动态变化的光轴,实现了更低的场边缘畸变;低压高分辨:样品台减速技术,实现低落点电压,同时保证高分辨率。应用案例
  • 飞纳电镜彩色成像,给你的扫描电镜来点“颜色”看看
    随着工业生产日益复杂和产品标准不断提高,部件的质量控制和生产速度变得越来越重要。对于实验室和质量管理负责人而言,往往需要在技术人员较少、时间有限的情况下提供分析结果。目前,标准的行业解决方案是将用于获取结构信息的扫描电子显微镜(SEM)与能量色散 X 射线光谱(EDS)探测器相结合,进行样品的化学元素分析表征。 EDS 提供的元素信息可以给质量分析提供指导方向,然而将扫描电镜(SEM)与 EDS 割裂为两个独立的设备会导致用户体验不够友好。比如:需要不断地在高低倍数间切换来完成样品寻找和成像;需要在两个系统间不断进行数据同步和关联;独立的硬件和软件需求会导致兼容性问题和维护困难;数据分析可能会很麻烦并且需要很长时间;操作人员需要更长时间的专门培训。 飞纳电镜推出的 ChemiSEM 技术,将扫描电镜(SEM)形貌观察与 EDS 成分分析相结合,让工作流程更加流畅,简化了许多材料(包括金属、陶瓷、电池、涂层、水泥和软物质材料等)的分析流程:通过彩色元素分布图与扫描电镜(SEM)图像的实时叠加,在成像同时提供高质量的成分定性定量信息。 ChemiSEM 分析技术在易用性、便利性和速度上的提升,可以更快、更轻松地提供元素信息,降低每个样品分析测试的成本,更好地服务于质量分析过程。 对于您的实验室 ChemiSEM 提供了一个简单易行的解决方案,易于安装和使用,始终处于开启状态,并且能够在最少的训练和培训下提供可靠的结果。 对于您的团队 ChemiSEM 延长了设备有效机时,增加了样品吞吐量,从而提高了材料分析的质量和数量。 PART.01 实时分析获取更深层的信息 所有的 SEM-EDS 分析本质上都是复杂的,对于产品故障分析和污染物识别等应用,研发需要不断改进质量控制(QC)和故障分析(FA)流程,以更好地解决出现的问题。 ChemiSEM 技术的实时分析在质量控制和生产效率提升方面提供了独特的优势。它的 EDS 集成在仪器中,并在电镜工作时始终在后台收集成分数据,逐步建立样品更全面和详细的信息,帮助您更快地定位到关键质量问题 PART.02 实时定量面扫:不再有分析干扰 传统的元素分析中,复杂样品元素分布和相分布面扫并不能及时得到精确的结果。例如,一个峰的信号有时会被识别为两个元素,产生错误,干扰样品QC 问题的判断。 凭借创新的算法和智能光谱拟合,ChemiSEM 技术可以帮助您的实验室团队实现准确的元素识别和量化——即使在处理多个重叠元素时也是如此。 ChemiSEM 定量面扫 ChemiSEM 技术自动处理原始信号,生成定量面扫结果。数据被很好地解析,能够有效避免和峰和重叠峰的影响。并且使用专利的算法同时处理 BSE(背散射电子)和 EDS 信号,从而可以实时显示样品的形态和元素定量结果。 PART.03 无偏差相分析 传统的相分析高度依赖于对样品的假设,当存在谱峰重叠或强度不足而遗漏了元素时,这可能会是一个问题。 有了 ChemiPhase(ChemiSEM 技术中的一项新功能)后,可以避免这种情况。复杂样品的分析能够做到完全无偏差,可以基于数据单元中所有光谱结果,系统地识别每个独立的相。随后,数据分析可以在没有任何元素预定义的情况下自动运行,无需丰富经验即可定位次要/微量元素,明确识别主要和次要成分,完成更深入、更全面的分析。 地质切片分析 使用 ChemiPhase 对地质切片的分析,每个相的能谱成分被自动提取和计算,可以将不同矿物相有效区分。 PART.04 自动样品漂移校正 成分分析过程中,准确和有效的定量结果需要一个正确且稳定的样品位置信息。 通常在图像漂移的情况下,研究人员需要多次重新获取分析数据,或者等待样品停止漂移后再获取数据,这两种方式都会降低测试效率。 通过不断监控样品位置,ChemiSEM 软件提供自动样品漂移校正,使高倍率操作和较长时间的能谱采集成为可能。帮助大家节省宝贵的时间和精力,专注于更重要的事情:尽快获取最高质量的数据。 简而言之,ChemiSEM 技术提供高质量的分析结果。它在大量的操作参数范围内进行了优化,即使在存在多个重叠峰的情况下也能提供可靠的数据结果。智能光谱拟合根据精确的参数设置自动验证元素,为获得的结果提供保证。
  • 国产!上海精测半导体专用电镜首台交付,电镜年产值预估超5亿元
    仪器信息网讯 近日,武汉精测电子集团股份有限公司(上海精测半导体技术有限公司母公司,下称“精测电子”)表示,上海精测半导体技术有限公司以椭圆偏振技术为核心开发的适用于半导体工业级应用的膜厚量测设备以及光学关键尺寸量测系统,已经取得长江存储、广州粤芯等国内半导体客户的批量重复订单;电子显微镜相关设备已完成首台套的交付。eViewTM全自动晶圆缺陷复查设备是上海精测半导体自主研发的扫描电子显微缺陷复查和分类设备,它具有领先的高分辨率电子束成像能力和自动缺陷分类能力,据描述,该设备是国内首台拥有完全自主知识产权的半导体前道检测设备据悉,2020年12月23日,上海精测半导体技术有限公司宣布推出首款半导体电子束检测设备:eViewTM全自动晶圆缺陷复查设备,并于当日正式交付国内客户,助力半导体产业国产化。该设备是基于扫描电子显微镜技术的复查和分类的设备,应用于集成电路制造过程,可对光学缺陷检测设备的结果进行高分辨率复查、分析和分类,满足10x nm集成电路工艺制程的需求。随着半导体集成电路工艺节点的推进,作为晶圆厂制程控制主力设备的光学缺陷检测设备的解析度已无法满足大规模生产和先进制程开发需求,必须依靠更高分辨率的电子束复检设备的进一步复查才能对缺陷进行清晰地图像成像和类型的甄别,从而为半导体制程工艺工程师优化制程工艺提供依据。eViewTM采用了自主开发的扫描电子显微镜技术,具有超高的的分辨率,适用于10x nm及以下集成电路制程的工艺缺陷自动检测。除了高分辨率电子束成像能力外,利用自主开发的基于深度神经网络(DNN)的人工智能算法进行缺陷自动识别与分类,突破常规的基于机器学习的分类算法,极大提高晶圆缺陷分类的准确度。并采用全新的超低电压EDSX射线探测技术,突破常规设备EDS使用电压的限制,实现轻量元素的高分辨率解析能力。据3月11日公开的《武汉精测电子集团股份有限公司向特定对象发行A股股票募集说明书(注册稿)》,上海精测半导体技术有限公司研发及产业化建设项目偏重于电子束检测应用、聚焦离子束与电子束双束应用、光学关键尺寸测量技术、面向大尺寸 OLED 屏的超快精细激光切割及其检测技术等方向,重点建设半导体检测设备研发及产业化基地,侧重产业园投入及在现有半导体检测设备研发及制造基础上进行工艺优化和技术升级。其研发及产业化建设项目所生产的半导体检测设备及平板显示检测设备主要面向半导体晶圆的检测和量测,部分面向 OLED 检测。其中电镜相关产品类型包括Review SEM 电子束量测设备、FIB SEM 电子束量测设备,应用于半导体电子束检测。涉及新产品研发情况,相关产品具体类别、主要功能及目标客户:项目达产后正常年不含税收入 129200 万元,其具体构成详见下表:Review Sem 电子束量测设备为公司的新产品,其定价采取“成本加成”的定价模式,根据产品生产成本、费用及合理的利润来确定该产品的价格。FIB SEM 电子束量测设备为全新产品,其销售价格是参考其他公司同类型产品中标公告的中标金额(700 万元/套至 826 万元/套),并结合未来市场需求情况而制定。在半导体测试领域,上海精测已成功开发高性能集成电路制造前道量检测进口替代设备,自主研发的集成式膜厚测量设备于 2020 年实现来自国内一线存储客户的订单,未来上海精测持续增加研发投入研发光学检测设备(纳米薄膜椭偏测量装备、光学关键尺寸(OCD)测量装备、硅片应力测量装备)和电子光学检测设备(CD-SEM扫描电子显微镜关键尺寸测 量装备 、Review-SEM 全自动晶圆缺陷复查设备、FIB-SEM 双束系统),实现研发设备的产业化,打破集成电路高端检测设备被国外厂家垄断的局面,填补国内空白,实现进口替代,为之后研发暗场颗粒检测、精密套刻测量、多束电镜、透射电镜等前沿技术和设备提供坚实基础;另一方面,公司将充分利用资本市场功能及优势,采取多元化方式,积极做大做强公司半导体测试板块,提升竞争力。技术可行性半导体产业化过程,设备先行,半导体前道检测设备是制约我国半导体制造产业的“卡脖子”难题,以美国科磊半导体为代表的国际巨头占据了全球量测检测设备大部分的市场。在政府引导和下游市场需求的双重推动下,越来越多的国产设备企业投入到半导体测试领域。上海精测注册成立后,致力于半导体前道量测检测设备的研发及生产,在光学领域自主开发针对集成电路微细结构及变化的OCD测量、基于人工智能深度学习的OCD三维半导体结构建模软件等核心技术,在电子束领域自主开发了半导体制程工艺缺陷全自动检测、晶圆缺陷自动识别与分类等核心技术,填补了国内空白。此外,公司在半导体光学、半导体电子光学及泛半导体领域积极进行项目研发,在半导体单/双模块膜厚测量设备、高性能膜厚及 OCD 测量设备、半导体硅片应力测量设备、FIB-SEM 双束系统、全自动晶圆缺陷复查设备、激光切割设备等方面积累了大量经验,形成了一定技术沉淀。生产及管理可行性目前,上海精测主要聚焦半导体前道检测设备领域,进一步加快上海精测在半导体检测领域相关技术的引进、消化和吸收,使上海精测具备集成式膜厚测量设备(200/300mm 硅片)、用于 200mm 硅基 Micro-OLED 制程膜厚测量设备、高产率 300mm 硅片膜厚检测机等产品的研发及生产能力,同时进一步降低生产成本,提高产品竞争力。上海精测以椭圆偏振技术为核心开发的适用于半导体工业级应用的膜厚量测设备以及光学关键尺寸量测系统,已经取得国内一线客户的批量重复订单;电子显微镜相关设备预计在 2020 年年底前推向市场,其余储备的产品目前正处于研发、认证以及扩展的过程中。关于上海精测半导体技术有限公司上海精测半导体技术有限公司成立于2018年7月,主要从事以半导体测试设备为主的研发、生产和销售,同时也开发一部分显示和新能源领域的检测设备。上海精测半导体技术有限公司通过自主构建研发团队及海外并购引入国产化等手段,实现半导体测试、制程设备的技术突破及产业化,快速做大做强;并倚靠母公司精测电子在平板显示检测领域已经在国内市场取得领先的市场地位,提高相关专用设备产品在集成电路市场的竞争力,旨在将公司打造成为全球领先的半导体测试设备供应商及服务商。------------------------------------拓延:关于举办首届中国电镜产业化发展论坛的通知一、会议时间4月23日下午13:30-17:00(ACCSI 2021召开同期)ACCSI 2021大会官网:https://www.instrument.com.cn/accsi/2021二、会议地点江苏无锡融创万达文华酒店三、组织单位主办单位:中国电子显微镜学会 仪器信息网四、会议形式定向邀请、圆桌会议、半开放形式五、会议主题主题:首届中国电镜产业化发展论坛内容:围绕“当前环境下,中国电镜产业化如何快速发展”,议题内容主要涵盖以下三部分内容:1)产业化/创业历程,2)发展现状,3)发展痛点及发展建议/倡议/合作机会等六、 目标参会人群及规模政府及协会学会领导,电镜业界专家/学者、实验室主任、技术/研发负责人;电镜企业及周边企业董事长、总经理、总工、市场总监、研发总监等,规模约80人。   七、会议议程(拟定,以年会官网最终信息为准)ACCSI2021分论坛:首届中国电镜产业化发展论坛议程安排(4月23日下午)主持人:中国电子显微镜学会 理事长 韩晓东中国科学院电工研究所 副所长 韩立议题内容分享人致辞中国电子显微镜学会 理事长 韩晓东开篇报告:中国电子显微镜产业发展现状及展望中国科学院电工研究所 副所长 韩立议题发言:中科科仪电镜产业化历程北京中科科仪股份有限公司 电镜事业部总经理 孟祥良议题发言:透射电镜原位系统产业化进展介绍百实创(北京)科技有限公司 总经理 李海鑫开放讨论:国产电镜产业化现状?处于什么阶段?有哪些痛点?… … 全体邀请嘉宾仪器信息网专家委电镜专业组成立仪式、颁发专家聘书仪器信息网高层议题发言:与中国科学家的产业化合作(拟)待定议题发言:高通量扫描电镜产业化与展望聚束科技(北京)有限公司 总经理 何伟开放讨论:哪些先进经验?如何取长补短?… … 全体邀请嘉宾议题发言:高时空分辨TEM研发及新技术发展中国科学院物理研究所 研究员 李建奇议题发言:如何让国产电镜更好地赋能各行各业?国仪量子(合肥)技术有限公司 营销中心副总经理 付永强开放讨论:成果转化、产业化?合作机会?… … 全体邀请嘉宾小结主持人晚宴八、联系方式首届中国电镜产业化发展论坛:杨编辑,15311451191,yanglz@instrument.com.cnACCSI 2021大会: 杜老师, 13671073756 李老师, 15611023645附:关于2021第十五届中国科学仪器发展年会(ACCSI2021)2021第十五届中国科学仪器发展年会(ACCSI2021)将于2021年4月21-23日在无锡市召开。ACCSI定位为科学仪器行业高级别产业峰会,经过14年的发展,单届参会人数已突破1000人,被业界誉为科学仪器行业的“达沃斯论坛”。ACCSI2021以“创新发展,产业共进”为主题,力求对过去一年中国科学仪器产业最新进展进行较为全面的总结,力争把最新的产业发展政策、最前沿的行业市场信息、最新的技术发展趋势、最新的科学仪器研发成果等在最短的时间内呈现给各位参会代表。会议期间将颁发 “年度优秀新品”、 “年度绿色仪器”、“年度行业领军企业”、“年度十大第三方检测机构”、“年度售后服务厂商”、“年度网络营销奖”“年度人物”等多项行业大奖,引领科学仪器产业方向。会议日程(拟定,以年会官网最终信息为准)时间日程会议内容4月21日9:00-20:00参会注册14:00-17:00第三届仪器CMO圆桌峰会4月22日9:00-12:00大会特邀报告13:30-15:30i100峰会:中国科学仪器发展高峰论坛16:00-18:00仪器及检测风云榜颁奖盛典4月23日分论坛9:00-17:00第六届中国质谱产业化发展论坛第五届检验检测产业峰会9:00-12:00实验室智能化论坛量子精密测量产业化发展论坛生命科学仪器发展与精准医疗产业对接圆桌论坛石墨烯检测与标准发展论坛科学仪器及检测人才发展论坛13:30-17:00生命科学仪器创新成果转化圆桌论坛环境监测热点技术及市场论坛首届中国电镜产业化发展论坛中药分析与质量控制创新发展论坛近红外光谱产业化发展论坛贵金属及珠宝检测技术发展论坛参会咨询报告及参会报名:010-51654077-8124 13671073756 杜老师 15611023645李老师赞助及媒体合作:010-51654077-8015 13552834693魏老师微信添加accsi1或发邮件至accsi@instrument.com.cn (注明单位、姓名、手机)咨询报名。报名链接:https://insevent.instrument.com.cn/t/mK报名二维码扫描二维码立即报名
  • 追随初心|电镜“手艺人”与电镜技术——访日本电子中国电镜技术首席专家苗澍
    师承我国分析电子显微学研究领域的开拓者与学术带头人之一朱静院士,苗澍在国外学习工作多年后,于2011年初入职中科院大连化学物理研究所从教,继续聚焦材料微观结构及电子显微学研究。2019年全职加入捷欧路(北京)科贸有限公司。从 “电镜科研大学”到“电镜技术大学”,不断追随着自己对电镜技术热爱的那份初心。仪器信息网近期有幸采访了捷欧路(北京)科贸有限公司电镜技术首席专家、副总经理苗澍,围绕职业转变的故事、与电镜技术的结缘、对电镜行业的理解感悟等进行了访谈。捷欧路(北京)科贸有限公司电镜技术首席专家、副总经理苗澍缘起:从“手艺活儿”到“投缘”1994年,苗澍迈进清华大学,选择材料科学与工程学科开启其大学求学之旅。在本科第三年分专业之际,面对专业的选择、未来的规划,当时获取信息相对闭塞的苗澍显得些许彷徨。此时,我国分析电子显微学研究领域的开拓者与学术带头人之一——朱静院士加盟清华大学材料学院,并新开设了一个微结构专业,在当时,微结构专业虽然是一个比较小众的方向,规模也不大,但就是在这里,苗澍与电子显微学的结缘拉开了帷幕。第一次去电镜实验室参观,苗澍就被透射电镜雄伟的外观所震撼,而且发现电镜这种仪器与使用人员具有很强的互动性,如同一个巨大的玩具,天天和它打交道应该很有趣,基于这些很简单的想法,苗澍选择了这个新开设的微结构专业。真正进入电镜实验室后,随着对电镜进一步的了解,逐渐发现电镜与自己兴趣和特长的更多契合点,便更加坚定了自己的选择。首先,电镜强调人的作用,无论是仪器操作、数据收集、还是数据分析,人员的参与度都相当高,这可以发挥自己善于动手实践的特长,并且能很好地培养活跃的思维和敏锐的观察力。其次,电镜实验工作环境安静,通常要在黑暗的实验室里连续工作几个钟头甚至一天,这需要冷静、专注的工作作风,和自己的性格很匹配。选对了专业,学习有一种如鱼得水般的快乐。 问及学习电子显微学有哪些重要的经历,苗澍不假思索提及了其在学生时代两年多的实验室电镜助管时光。两年的经验积累成为其后续从容应对相关科研工作的一个宝贵财富。电镜助管那段时间里,其需要面对一个很大的客户群体,有机会接触到自己课题之外的更多材料体系,并使用到更多的电镜技术,面临并解决各种各样的挑战。虽然当时一周里加起来只有一天多的助管时间,但两年多的积累,已经帮助其见识到了多种多样的材料样品,一方面对各类材料体系有了更深的理解,一方面在电镜操作方面也积累了丰富的经验。这是一段令自己终身受益的经历。缘续:从“科研大学”到“技术大学”在清华大学材料科学与工程系获硕士学位后,苗澍2007年于加州理工学院材料科学系获博士学位,2007-2011年在法国CEMES-CNRS研究所及英国谢菲尔德大学作博士后。2011年1月回国入职中科院大连化学物理研究所,历任副研究员、研究员。这期间,苗澍的职业路线按照科研方向不断前行。2019年,其职业方向发生重大转变,全职加入捷欧路(北京)科贸有限公司(以下简称日本电子),任电镜技术首席专家、副总经理。追随初心,转向“电镜技术大学”关于职业方向的转变,苗澍表示,自己的学科专业是材料学,但实际上从本科设计就开始接触电镜,所以无论是科研经历,还是专业训练,都是围绕电镜来开展的。包括在科研单位做的也是电镜相关工作,如建立电镜实验室,用电镜解析材料结构问题,探索实验技术及分析方法等。在科研单位工作了一些年之后,审视自己,认为自己的主要兴趣点还是在电镜技术的发展上,而不是用电镜解决材料问题。那么如果想在电镜技术研究上有进一步的深造,进入顶级的电镜公司便成为更合适的选择,那里更像是电镜技术的大学。同时,考虑到自己的年龄,如果再不做出改变,这一生可能将失去转变的机会,所以,追随初心,选择了转变。希望在剩下的职业生涯的时间里,能把精力更集中一些,聚焦在电镜技术中。加入日本电子,一切朝着预期发展选择职业转变,并在电镜企业中选择日本电子并非偶然,背后与日本电子也有许多渊源。首先,日本电子的电镜产品已经成为其多年的科研或工作“伴侣”。苗澍回忆道,自己用到的第一台电镜,就是日本电子非常经典的JEM-200CX透射电镜,在这台电镜上受到了很好的启蒙训练。随后,从国内国外求学到再回国工作,在各电镜品牌产品中,与日本电子电镜的接触是最多的,所以对其技术特点及开发思路了解更多。JEM-200CX透射电镜其次,日本电子的企业文化也与自己追随电镜技术的方向十分吻合。1949年,日本电子起步于一个光学实验室(日本电子光学实验室有限公司),七十余年来,传承了对于技术发展的不断追寻与探索,积累了海量的特色技术和工业经验。同时,日本电子对于技术人员十分重视,对技术人员在公司发展中提出的建议也十分看重,这也保证了公司始终追求技术进步的初衷。这里是最好的电镜技术大学,在这样的企业工作必然收获良多。关于加入日本电子后工作内容的变化,苗澍表示,工作内容和形式都发生了变化,但这些变化都在朝着自己当初对新工作的预期不断展开。工作内容方面,以往工作重心更多是以材料研究为主,利用电镜技术解决一些材料学上的问题,而现在则更加关注仪器技术的开发。工作形式方面,以往主要是实验室内容多一些,现在除去一定量的实验室工作外还会与电镜用户打很多交道,通过与用户的接触,深化技术应用,了解潜在需求,激发创新点,并最终体现在产品上。谈电子显微学:电镜与飞速发展的电镜技术提到电子显微学,人们往往会联想到微观世界,那么电子显微学的研究意义是什么?苗澍表示,电子显微学是用电镜研究物质的微观结构、成分和组织方式的一门科学,可以帮助探索材料宏观性能的微观起源,建立起工艺-结构-性能的构效关系,从而指导人们对材料的研发、制备或使役过程性能控制。电镜的特长是看局部,但是要保证结果具有代表性在电子显微学研究中,人和电镜一起创造了一个“观察者”的角色。讲直白一些,电镜就是要看局部、找缺陷、找不同,如果一个材料非常均匀,获得的实验数据可以很漂亮,但实际意义往往不大。对于此类均匀体系,往往采用X射线衍射、光谱、色谱等手段获得一些宏观的平均测量结果更好,而电镜的优势则在于观察微观尺度上的局部变化。抓住偶发现象和找出普遍规律一直是科学发现的两种常用手段。电镜最大的特点是可以细致入微地在微观尺度研究材料问题,但这一特长也同时成为它的一个局限,数据量偏少,有可能过度强化偶发现象。有人曾估算,从电镜发明到目前,全球所有电镜累计观测到的样品总量,可能仅有一个立方厘米左右的体积。这个说法或许不那么精确,但大致的量级偏差不大,而这立方厘米级的样品所代表的宏观材料体量却极其庞大。从这个角度来看,电镜是一种典型的“以小见大”的技术。我们在利用电镜研究材料时,要充分认识到这个,在看到特异性的同时更要注意实验结果在所研究的体系中是否具有代表性,切忌以偏概全。在这个过程中,人的因素起到很大作用。操作者需要判断找到的局部不同是否是偶发情况,是否与当前课题有关联,是否需要抓住继续做下去等,随后还需要进一步反复进行验证,获得可靠的、具有代表性的结果。与此同时也要保持对特异性的敏感,毕竟科学研究是无法完全事先预见的,当真理通过意外的火花显现时也要及时抓住。总之,电子显微学有自身技术特点,但与其它学科没有本质区别,要勇于探索,更要实事求是。向三个维度不断发展的电镜技术目前,电镜技术面临的根本的技术难点,依旧是电镜本身那些基本技术条件的限制。高电压、电子束、强磁场、真空环境等基本技术条件成就了电镜的应用,但同时也限制了电镜的应用场景。例如信号的激发源自电子束与样品的相互作用,但这也可能会对样品造成破坏。即便没有发生常规意义上的破坏,所获得的数据也是在高能电子束影响下产生的,是否反映样品的原始信息需要审慎对待;电磁透镜的磁场对样品的磁学状态肯定有干扰,这是阻碍电子显微镜应用于磁性材料研究的主要原因;电子光学成像需要真空环境,但是材料的使役状态往往是有气氛存在的,这种矛盾给气态原位实验以及表面结构相关的研究造成了很多困难。这些根本性的限制将是电镜技术需要长期面对的挑战,而我们不断取得的那些进展,都成为长期挑战征程中的阶段性胜利。对于电子束辐照损伤的问题,一个主要的解决手段就是发展高效探测技术,包括提高探测器灵敏度,提高信号收集效率,开发更高效的成像技术及数据处理算法等等,这样就可以在保证获得足够好的信号质量的前提下持续降低电子剂量。无磁场成像技术已经有很长的历史了,一直是电镜中研究磁学问题的主要手段。这个领域的进展主要体现在分辨率的提升,利用辅助透镜,特殊极靴,以及像差矫正器,无磁场成像的分辨率从微米、纳米提升到了原子级别。这是里程碑式的进步,从此以后磁结构的局部微观研究进入原子时代,会带来很多令人振奋的科学发现。气态原位实验是近年来非常活跃的领域。现在已经可以将少量的反应气体,甚至液体,引入到电镜内部,并且同时施加电、热等外场作用,在原子分辨率下观察样品的动态行为……当然,终点永远不会出现。仪器技术的进步为材料科学的研究提供了强大的工具,材料科学的进步又会产生很多新的课题,向仪器技术提出更苛刻的需求。这种相互促进的良性循环将一直持续。趋向于零点的三维坐标轴:蓝框是时间轴的应用实例(展示了在毫秒,甚至更短时间内纳米粒子的受热熔合过程);黄框是空间尺度轴的应用实例(在静态图像上可以区分间隔小于50皮米的原子柱);红框是能量轴的应用实例(利用毫电子伏级别的能量分辨率研究材料表面的声子振动)关于电镜技术的发展历程及未来方向,苗澍给出一个坐标轴的概念进行解释。 这可以概括为趋向于零点的三维坐标轴。第一维度是空间分辨率,对应电镜的静态成像能力,向着越来越小接近零点方向发展。目前此维度水平已经相当高,达到皮米级别。第二个维度是能量轴,对应电镜的谱学(成分/电子结构)分析能力,目前可以做到毫电子伏meV级别,在某些情况下其数据已经可以和宏观光谱数据相比较。但是从效率角度,电镜的谱学能力还需要有很大程度的提升,这种提升会给电镜的应用场景带来多方面的拓展。实时的原子级化学成分/键合成像,极低电子剂量化学分析,微量元素探测,高分辨振动谱成像等等都有可能实现。因此,谱学分析能力将是电镜未来一个大力发展的方向。第三个维度则是时间轴,对应电镜的时间分辨率,也是逐渐向着趋于零的方向发展。时间序列数据源于原位实验的需求,在电镜技术发展的早期就已经存在。近几年这一领域出现了飞跃式发展,时间分辨率进入飞秒时代,电镜具备了解析超快过程的能力。这是原位实验的新阶段,可以揭示那些瞬间完成,或者看似毫无变化的反应过程所包含的丰富动态细节。我们应该从数量级的角度看待微观探测技术的进步,每一步向零点的逼近都开拓了新的创新空间。当这些技术相互交叉的时候,将为科学研究带来无法估量的新机遇。透射电镜与快速发展的像差校正技术苗澍以往的科研工作主要围绕透射电镜技术展开,关于当前透射电镜的技术热点也分享了自己的看法。首先便是低剂量成像技术。随着该技术的发展,以往电镜很难观察的沸石、MOF等辐照敏感材料的微观结构解析又呈现复兴需求,成为新的研究热点。有力推动了这一类材料的科学研究。第二个热点便是原位技术。随着近些年原位样品杆技术的快速发展,力、热、光、电、磁、液、气等环境全都得以在电镜中实现,在模拟使役环境下研究材料微观动态行为的原位电镜实验快速成为研究热点。另外,化学分析能力依旧是技术革新的重点领域。相比十多年前刚进入中国市场,作为高端电镜代表的像差校正透射电镜技术已经有了很大的发展。如今的产品不仅分辨率有很大提升,操作性也大大改观,稳定性提高,需要人工干预的频次减少,甚至不少操作步骤已经可以实现自动完成。苗澍表示,高端电镜比常规电镜增加了更多快捷精准的自动调节功能,所以现在使用高端电镜的技术门槛可能得比常规电镜还要低。高级用户可以做非常个性化的设定和调试,而初阶的用户选择自动模式,设备就可以达到一个相当好的状态。这样的设计大幅度降低了仪器操作的难度,让更多人能直接使用仪器,不必在实验技术方面耗费太多精力。基于日本电子像差校正电镜的成果案例:三种技术显示GaN [211]方向的原子结构。轻元素(ABF),重元素(HAADF),成分分布(EDS)都达到皮米精度关于现阶段像差校正电镜的技术进展,苗澍认为:首先,在分辨率方面虽仍有提升,但已呈现趋缓态势。其次,在化学分析能力方面,由于是整个系统的短板,有很大的提升需求,将会是重点开发领域。另外,低电压成像分辨率还需要进一步提升。而从中长期发展来看,强化综合表征能力,尽可能多地增加新维度的数据(表面结构,电/磁结构,化学键合,纵向分辨,瞬态变化,等等)是大趋势,并且所有这些都要在“微区-高分辨”这一体现电子显微镜基本特点的前提下实现。谈感悟:“用好”是电镜产业发展的当务之急从“科研大学”到“技术大学”,对电镜产业有了更多的认识,访谈最后苗澍也分享了自己的一些感悟。首先,更加深刻体会到,仪器技术的进步,在很大程度上不是靠厂商,而是来自用户。许多案例印证了新想法的产生不是完全靠厂商,甚至说大部分都不是靠厂商。技术革新的雏形或者新颖的应用需求往往在客户端发生,客户提出新想法,然后做出初步尝试,并证明其发展前景。随后,厂商了解了这样的情况后,利用其系统整合、生产制造方面的优势,帮助把新的技术商品化,将成果从实验室推向市场,使更多人受益。这种情况在电子显微学研究活跃的国家很典型,有相当比例的用户从事电镜硬件技术以及新实验方法的开发方面的探索,一直在推动整个行业的技术进步。这对我们是一个启示。中国目前仪器设备的采购量在全球名列前茅,国产尖端仪器近来有所进步,但是显示度还不够高,需要加速提升。在用户群的构成上,主要是常规使用,做仪器技术和方法研究的学者还不够多。材料研究当然是最重要的,这也是发展仪器技术的基本目的。但是如果做技术开发的科研群体规模不够,技术发展就缺乏持续的动力,我们很难把自己的仪器行业做强。另外,现阶段电镜在使用和管理方面对技术人员的依赖仍然比较强,但国内很多单位普遍存在人员配置不足的现象,这样很难保证充分发挥这些昂贵设备的性能。创造发明往往是从物尽其用开始,了如指掌才能洞察其不足,继而推陈出新。中国毫无疑问是仪器大国,希望进一步成为仪器强国。
  • 高端新品发布!国产双束电镜+超高分辨电镜闪耀2023全国电镜年会
    10月26日,2023年全国电子显微学学术年会在东莞市召开。国仪量子在会议期间重磅发布自主研制的聚焦离子束电子束双束显微镜DB500、超高分辨场发射扫描电子显微镜SEM5000X,开启了国产高端电镜发展的全新时代。发布会现场,国仪量子应用工程师详细介绍了两款全新电镜的研发历程与技术细节,并现场演示了双束电镜的测样过程,点燃了与会嘉宾对国产高端电镜的热情。与用户共创!开启国产电镜全新时代多年来,国仪量子的工程师持续深入现场,走到用户身边,挖掘其对性能、操作等多维度需求,并将这些反馈落实到产品规划中。张泽院士(右三)、陈江华教授(左四)、马德生老师(左二)与国仪量子副总裁张伟(右二)、副总裁曹峰(左三)等人合影此前,国产电镜技术一直局限在显微成像层面,难以满足更高层次的微纳表征、测量加工制造等综合性需求。国仪量子抓住用户痛点,基于深厚的技术积累与出色的产品工程化能力,研发了自主可控的聚焦离子束电子束双束显微镜DB500。这标志着国产电镜正式迈入了微纳加工的全新时代。针对有着更小观测尺度、更高分辨率观测需求的科研用户,国仪量子推出了敢为人先、极具挑战意识的,超高分辨场发射扫描电子显微镜SEM5000X。进一步夯实了国产高端电镜发展的基础。聚焦离子束电子束双束显微镜DB500优雅精“制”DB500DB500拥有自主可控的场发射电子镜筒和“承影”离子镜筒,是一款优雅全能的纳米分析和制样工具。高压隧道技术(SuperTunnel)、低像差无漏磁物镜设计,低电压高分辨率成像,保证纳米分析能力。“承影”离子镜筒采用液态镓离子源,拥有高稳定、高质量的离子束流,保证纳米加工能力。集成式的纳米机械手、气体注入器、电子物镜防污染机构,拥有24个扩展口,配置全面,自主可控,扩展性强,为您打造全能纳米分析和加工中心。离子镜筒"承影"分辨率:3 nm@30 kV探针电流:1 pA~50 nA加速电压范围:500 V~30 kV使用寿命:≥1000小时长时间稳定性:72小时不间断工作纳米机械手仓内安装方式三轴全压电驱动步进精度≤10nm最大移动速度2mm/s集成式控制方式离子束-电子束协同气体注入器单气体注入多种气源可选伸缩距离≥35 mm重复定位精度≤10 um加热温度控制精度≤0.1℃加热温度范围:室温~90℃集成式控制方式产品优势DB50001高压隧道技术和无漏磁物镜的电子镜筒,高分辨率成像,兼容磁性样品02“承影”离子镜筒,高稳定、高质量的离子束流,用于高质量纳米加工和TEM制样03样品仓内压电陶瓷驱动的机械手,集成式控制方式,操作精准到位04自主可控,扩展性强,集成化设计的离子源更换时间快,极致的售后服务,提供免费的三年质保无忧服务超高分辨场发射扫描电子显微镜SEM5000X超高分辨 挑战极限SEM5000XSEM5000X是一款超高分辨率场发射扫描电子显微镜,其分辨率达到了突破性的0.6 nm@15 kV和1.0 nm@1 kV。高分辨物镜设计、高压隧道技术(SuperTunnel)以及镜筒工艺升级,实现了低电压分辨率的进一步提升。全新设计的样品仓,扩展接口增加至16个,快速换样仓最大支持8寸晶圆(最大直径208 mm),极大扩展应用范围。高级扫描模式和自动功能增强,带来了更强的性能和更好的体验。产品优势SEM5000X01超高分辨率成像,达到了突破性的0.6 nm@15 kV和1.0 nm@1 kV02样品台减速和高压隧道技术组合的双减速技术,挑战极限样品拍摄场景03高精度机械优中心样品台、超稳定性的机架减震设计,可搭配整体罩壳设计,极大减弱环境对极限分辨率的影响04最大支持8寸晶圆(最大直径208 mm)的快速换样仓,满足半导体和科研应用需求本届会议期间,来自全国的近2000位显微学人齐聚一堂,以振兴电子显微学事业发展为己任,瞄准国家重大需求和国际前沿科学问题,不断为我国卡脖子难题的攻克贡献中国电子显微学者不可或缺的重要力量。国仪量子秉承”为国造仪“的初心,基于市场与用户需求,坚持自主创新与科研攻关,为国产高端科学仪器发展和国家科技自立自强不懈努力。
  • 电镜学堂丨电镜使用中,如何选择合适的束斑束流?
    “TESCAN电镜学堂”又跟大家见面了,利用扫描电镜观察样品时会关注分辨率、衬度、景深、形貌的真实性以及其他分析的需要等等,不同的关注点之间需要不同的拍摄条件,有时甚至相互矛盾。今天主要谈一谈电镜使用中如何选择合适的束斑束流? 这里是TESCAN电镜学堂第10期,将继续为大家连载《扫描电子显微镜及微区分析技术》(本书简介请至文末查看),帮助广大电镜工作者深入了解电镜相关技术的原理、结构以及最新发展状况,将电镜在材料研究中发挥出更加优秀的性能! 第三节 常规拍摄需要注意的问题 平时电镜使用者都进行常规样品的观察,常规样品不像分辨率标准样品那么理想,样品比较复杂,而且有时候关注点并不相同。因此我们要根据样品类型以及所关注的问题选择合适的电镜条件。 关注分辨率、衬度、景深、形貌的真实性、其它分析的需要等等,不同的关注点之间需要不同的电镜条件,有时甚至相互矛盾。因此我们必须明确拍摄目的,寻找最适合的电镜条件,而不是贸然的追求大倍数。 电镜的工作条件包括很多,加速电压、束流束斑、工作距离、光阑大小、明暗对比度、探测器的选择等。本期将为大家介绍束流束斑的选择。 §2. 束流束斑的选择 除了加速电压外,束流和束斑也是电镜工作中非常重要的参数。一般来说,束流和束斑并不完全独立,增加束流的同时,由于Boersch效应,必然导致束斑的扩大。所以束流越大,分辨率反而越低,但是信噪比越好。 束流的选择要视具体情况,在拍摄高分辨时,需要较小的束流来获得小束斑;常规倍数可以增加束流来满足信噪比的需要;而对于分析附件,往往需要比图像拍摄大很多的束流。 对于束斑的调节,通常都认为束斑扩大会降低分辨率,如图5-22,但是反之,束斑越小真的就能获得更好的图像吗? 图5-22束斑太大会引起分辨率的下降 看如下一组图,图5-23,左边一组图是5万倍下的图像,左边是小束斑,右边是大束斑,显然小束斑有更好的分辨率,大束斑的图像已经有些模糊。右边一组图是维持束斑大小不变拍摄的1万倍下的图像。本应有着更好的分辨率的小束斑图像却出现了失真,虽然依然有更好的分辨率。但是对于真实性和分辨率之间要根据需要来判断,此时,样品的真实性受到严重影响。 图5-23 相同束斑在不同倍数的对比 为什么会出现这样奇怪的现象?为什么更好的分辨率却没有得到更真实的图像?前面我们已经说到,电子束是由扫描线圈的脉冲信号控制,电子束在试样表面并不是连续扫描,而是逐点跳跃式的扫描。一般扫描电镜的采集像素比较大,我们会误以为是连续扫描。既然扫描电镜是束斑间断跳跃式的轨迹,那么电子束就有一定的覆盖面积。 束斑中心的距离取决于放大倍数和采集像素大小。当束斑较大时,束斑覆盖比较全面;但是当束斑减小时,束斑的覆盖区域也越来越小,所以有的特征形貌会从束斑两个跳跃中心穿过而没有被覆盖到,所以相应的形貌特征也不会反映在图像上,这就造成了信息的丢失。像上述例子,在大倍数小,束斑之间跳跃间距小,足够覆盖特征形貌,但是缩小倍数后,跳跃距离变大,束斑不足以覆盖所有的特征形貌,有的线条就反映不出来,如图5-24。 图5-24 束斑大小与电子束的扫描 电子束的扫描是根据放大倍数和采集像素大小而进行了马赛克的像素化,只要束斑缩小到和单点像素匹配就可以,束斑与束斑之间不会出现太多的重叠而导致分辨率下降。只有束斑与单点像素匹配后,再缩小束斑已经没有意义,不会带来分辨率的提升,相反会引起信息的缺失。由此我们可以得到新的结论,虽然束斑越小理论分辨率越高,但是对于实际拍摄来说,像素和束斑越匹配才是效果越好。 图5-25 束斑和像素的匹配度 图5-25中四张图片对应的束斑和单点像素(绿框)之间的关系,我们可以看出其匹配度和图像质量的关系。像素和束斑的匹配并非指束斑完全小于像素框,束斑可以看成是一个衍射波,中间呈类似高斯分布,只要半高宽和像素大致相等则视为最匹配。而此时束斑的大小是大于像素的。 而且扫描电镜是靠电子束的扫描运动,只要不同像素点覆盖区域的电子产额能够被探测器最有效处理和区分,那电镜图片也就能区分。所以扫描电镜是完全可分辨比束斑更小的细节的,而有点地方说扫描电镜不能区分比束斑更小的说法是不够严密的。束斑是单点像素1.3~2倍左右,都是最佳匹配的条件。 现在我们发现束流的设置应该是随着放大倍数而变换的,对于TESCAN用户来说,比较方便,可以直接从软件中读取当前电镜调节对应的束流,结合视野宽度很容易知道单点像素的大小,从而快速找到束斑与像素匹配的工作条件。既保证了没有信息丢失,又保证了最大的束流强度和信噪比。TESCAN的钨灯丝电镜可以直接右键进行自动束斑大小的设置,如图5-26左,场发射电镜则可以直接在信息栏中输入想要的束斑大小,如图5-26右。如果在束斑设置中输入0,则电子束缩到可能达到的最小值,这主要用于极限分辨率的观察。 图5-26 TESCAN电镜的束斑设置 此外对于EBSD分析也一样,EBSD分析为了追求速度,需要较大束流,而束流增大会增大束斑,导致花样重叠无法标定。而TESCAN用户则可以轻易的根据EBSD的步长来设置束斑大小,确保在不会出现花样重叠的情况下束斑达到最大,采集速度最快。 福利时间每期文章末尾小编都会留1个题目,大家可以在留言区回答问题,小编会在答对的朋友中选出点赞数最高的两位送出本书的印刷版。【本期问题】如何根据像素选择最合适的束斑?(快去微信留言区回答问题领取奖品吧→)奖品公布上期获奖的童鞋,请关注“TESCAN公司”微信公众号在3个工作日内后台私信小编邮寄地址,我们会在收到您的信息并核实后即刻寄出奖品。 TESCAN电镜学堂“有奖问答”奖品 (印刷版书籍1本)简介《扫描电子显微镜及微区分析技术》是由业内资深的技术专家李威老师(原上海交通大学扫描电镜专家,现任TESCAN技术专家)、焦汇胜博士(英国伯明翰大学材料科学博士,现任TESCAN技术专家)、李香庭教授(电子探针领域专家,兼任全国微束分析标委会委员、上海电镜学会理事)编著,并于2015年由东北师范大学出版社出版发行。本书编者都是非常资深的电镜工作者,在科研领域工作多年,李香庭教授在电子探针领域有几十年的工作经验,对扫描电子显微镜、能谱和波谱分析都有很深的造诣,本教材从实战的角度出发编写,希望能够帮助到广大电镜工作者,特别是广泛的TESCAN客户。↓ 往期课程,请戳以下文字或点击阅读原文:电镜学堂丨扫描电子显微镜的基本原理(一) - 电子与试样的相互作用电镜学堂丨扫描电子显微镜的基本原理(二) - 像衬度形成原理电镜学堂丨扫描电子显微镜的基本原理(三) - 荷电效应电镜学堂丨扫描电子显微镜的结构(一) - 电子光学系统电镜学堂丨扫描电子显微镜的结构(二) - 探测器系统电镜学堂丨扫描电子显微镜样品要求及制备 (一) - 常规样品制备统电镜学堂丨扫描电子显微镜样品要求及制备 (二) - 特殊试样处理&试样放置 电镜学堂丨扫描电镜的基本操作 & 分辨率指标详解电镜学堂丨电镜操作之如何巧妙选择加速电压?电镜学堂丨电镜使用中,如何选择合适的束斑束流? 更多详情内容请关注“TESCAN公司”微信公众号查看
  • 中型扫描电镜“SU3800”与大型扫描电镜“SU3900”全面上市
    2019年4月3日,日立正式推出中型扫描电镜“SU3800”与大型扫描电镜“SU3900”。上述机型在支持超大/超重样品测试的同时,还通过自动化操作和大视野相机导航功能,大幅提升了操作性能。   在以纳米技术和生物技术为主的产业领域里,从物质的微细结构到组成成分,SEM在多种多样的观察与分析中得到了灵活应用。SEM用途日益扩大,但对于钢铁等工业材料和汽车零配件等超大/超重样品,由于电镜样品台能对应的样品尺寸和重量受到限制,所以观察时需要进行切割等加工。因此,对超大样品不施以加工处理,便可直接观察表面微细形貌和进行各种分析则成为重要的课题。  近年来为了实现各种材料的高功能化和高性能化,需要观察并优化材料的微细结构。目前SEM的应用除了以往的研究开发以外,已扩展到质量和生产管理方面,使用频率日益高涨。同时市场也对仪器的操作性能提出了更高的要求,以进一步减轻操作人员的负担。   此次发售的“SU3800”与“SU3900”,支持超大/超重样品的观察,特别是大型扫描电镜“SU3900”,可选配最大直径300mm *1、最大承重5kg样品(比前代机型提高2.5倍*2)的样品台,即使是超大样品也无需切割加工即可观察。  同时操作性能也得到了全面升级。样品安装完成后,通过自动光路调整及各种自动功能调整图像,随后可立即获得样品图像,真正实现了快速观察。  前代机型是仅仅通过CCD导航相机的单一彩色图像寻找视野*3。新机型则通过旋转样品台,分别拍摄样品各个部分,再将各个图像拼接成1张大图像,实现了大视野的相机导航观察,十分适用于超大样品的大范围观察。 *1直径为300mm的样品台,与前代机型“S-3700N”一样*2指与前代机型 “S-3700N”的比较。但比较的内容仅限于样品台平面移动时的限制重量*3寻找视野:指测量开始时,确认当前测量样品位置的操作 主要特点:1. 支持超大/超重样品测试  可搭载的最大样品尺寸:“SU3800” 标配可搭载直径200mm样品的样品仓,可应对最大高度为80mm、重量为2kg的样品。 “SU3900”作为日立高新技术的大型扫描电镜,标配可搭载最大直径300mm样品的样品仓,可应对最大高度为130mm、重量为5kg(比前代机型提高2.5倍*2)的样品2. 支持大视野观察  “SU3800”与“SU3900”的最大观察范围分别是:直径130mm、直径200mm安装有“SEM MAP”导航功能,只需在导航画面上指定观察目标位置,即可移动视野安装有“Multi Zigzag”系统,可在不同的视野自动拍摄多张高倍率图像,并将取得的图像拼接在一起,生成大视野高像素图像3. 通过自动化功能提高操作性能  通过自动光路调整和各种自动化功能,样品设置完后立即可以开始观察。关于图像调整,自动功能执行时的等待时间比前代机型*4缩短了三分之一以下安装有“Intelligent Filament Technology(IFT)”软件,自动监控钨灯丝*5的状况,显示预计的更换时期。在长时间的连续观察和颗粒度解析等大视野分析时,也可避免长时间测试过程中因钨灯丝使用寿命到期所造成的中断观察。*4指与前代机型 “S-3700N”的比较。*5钨灯丝:在真空中,通电加热后产生热电子的钨灯丝作为电子源的核心部件,起到光源作用。 关于天美:  天美集团从事表面科学、分析仪器、生命科学设备及实验室仪器的设计、开发和制造及分销;为科研、教育、检测及生产提供完整可靠的解决方案。近年来天美集团积极拓展国际市场,先后在新加坡、印度、澳门、印尼、泰国、越南、美国、英国、法国、德国、瑞士等多个国家设立分支机构。公司亦先后收购了法国Froilabo公司、瑞士Precisa公司、美国IXRF公司、英国Edinburgh Instruments公司等多家海外知名生产企业和布鲁克公司Scion气相和气质产品生产线,以及上海精科公司天平产品线, 三科等国内制造企业、加强了公司产品的多样化。
  • 2012年上市新品盘点:电镜
    新产品和新技术体现了相关行业的技术发展趋势,定期推出一定数量的新产品和新技术是一个仪器企业创新能力的具体表现。仪器信息网“半年新品盘点”旨在将最近半年内推出的新产品和新技术集中展示给广大用户,让大家对于感兴趣的领域有总体性了解,更多创新产品和更详细内容见新品栏目。   光学显微镜是人类迈向微观世界的第一步,光学显微镜价格便宜,操作简单,但光镜存在固有的局限,由于可见光波长的限制,其放大倍数只能达到1000倍左右。与光学显微镜相比电镜用电子束代替了可见光,用电磁透镜代替了光学透镜,并使用荧光屏将肉眼不可见的电子束成像,其放大倍数可以达到几十万倍,分辨率可达几个纳米。电子显微镜常用的有透射电镜和扫描电子显微镜。扫描电子显微镜的电子束不穿过样品,仅在样品表面几纳米到几十纳米的区域激发出携带样品表面信息的二级电子,然后将其转换成图像。而透射电子显微镜利用透过样品的电子束来成像。   2012年公开发布的电镜新品有7台,其中四台为传统的大型扫描电子显微镜,推出新产品的厂商主要有日立、日本电子(JEOL)、韩国酷塞目(COXEM)、卡尔蔡司。日立推出了钨灯丝扫描电镜,其最大的亮点是在低电压、低真空下获得极高的分辨率。日本电子推出的新型热场发射扫描电镜,将分辨率提高到新的极限,在15kV加速电压下,分辨率可达到1nm。韩国酷塞目采用计算机导航的马达驱动控制样品台升降,提高了仪器操作的自动化程度。卡尔蔡司推出了AURIGA COMPACT聚焦离子束电镜系统新品,由电子束系统(SEM)和离子束系统(FIB)组成,具备高分辨率成像功能,可以对材料实现高分辨三维纳米尺度成像和精确的材料加工及纳米组装。   传统大型电子显微镜有效放大倍数可以达到数万倍,具有大景深,是当前材料科学、生物科学领域最常用的表征手段之一,但其投资巨大,而且需要经过严格培训的操作人员,使用不便。台式扫描电镜是一种全新的设计,其结合了光学显微镜与传统扫描电镜的优点。既保留的扫描电镜较高的放大倍数和大景深,同时体积小、操作简便,价格相对较低。此类新型仪器的出现填补了光学显微镜与传统大型扫描电子显微镜之间的间隙,可广泛应用于材料科学、纳米颗粒、生物医学、纺织纤维、地质科学等诸多领域。2012年共有3台台式电子显微镜上市。主要厂商有Phenom-World、日本电子、Delong America公司。   Phenom-World首创了电镜能谱一体化的台式扫描电子显微镜。日本电子推出了即包含有二次电子探头,又包含有背散射电子探头的桌上型扫描电子显微镜,弥补了桌上型扫描电子显微镜只有背散射电子探头,只能观察成分像,不能观察二次电子像的不足。Delong America公司推出的超小型透射电子显微镜,采用独特的倒置式设计,体积仅为传统透射电镜1/10,价格仅为其1/3。   大型电镜 日立SU3500钨灯丝扫描电镜   日立于2012年10月推出的SU3500属于应用最广泛、使用最经济的钨灯丝扫描电镜。在加速电压为3kV时,二次电子图像分辨率可达7nm 在加速电压为5kV时,电子背散射图像的分辨率可达10nm。新设计的SU3500真空程序使真空度可达到650Pa。SU3500可变压力模式允许对处于自然状态下的潮湿、油腻和非导电样品进行观察。 日本电子JSM-7800F热场发射扫描电镜   JSM-7800F是日本电子2012年3月上市的一款高分辨率的热场发射扫描电镜,它秉承了JEOL热场发射扫描电镜大束流(1pA到200nA/15 kV时),高稳定性的传统,将分辨率提高到新的极限(1.5nm/1kV),1.0nm/15kV)。电子枪寿命长,随主机的第一根电子枪可以保证至少使用3年。全新设计的混合型物镜,对样品没有局限性,任何种类的样品都可以得到超高分辨图片。 韩国酷塞目CX-200TM扫描电子显微镜   韩国酷塞目2012年12月推出了新型CX-200TM扫描电子显微镜,CX-200TM采用钨灯丝电子枪,分辨率:3.0nm(30kV,二次电子)、10nm(3kV,二次电子)、4.0nm(30kV,背散射电子) 放大倍数:15x~300,000x 加速电压 0.5kV~30kV 。涡轮分子泵真空系统,无需冷却循环水机。采用计算机导航的马达驱动控制样品台升降,在几乎不增加费用的基础上,给用户的操作带来极大方便。   卡尔蔡司AURIGA COMPACT聚焦离子束电镜系统   2012年8月,卡尔蔡司在中国举行了AURIGA COMPACT聚焦离子束电镜系统全球首发仪式。AURIGA Compact GEMINI透镜设计可以在FIB切割的同时同步高分辨成像,样品的倾斜不会影响电子光学性能。AURIGA Compact具有的稳定性和效率,足以支持自动采集成百上千的EBSD剖面图谱。CrossBeam工作站的ASP系统支持自动制备TEM样品和进行断面切割。卡尔蔡司独有的X2技术,可以制备面积较大薄片样品,厚度小于10nm。         台式电镜 Phenom proX台式扫描电子显微镜   Phenom-World公司在2012年3月发布最新产品Phenom proX,首创了电镜能谱一体化的台式扫描电子显微镜。该台式扫描电镜结合了表面成像功能和元素分析(能谱EDS)功能,可以准确的进行样品表面元素的定性和定量分析。Phenom ProX的能谱仪EDS是针对台式电镜设计的,EDS 系统完全嵌入在电镜主机中,利用半导体制冷,无需额外冷却系统。Phenom proX采用CeB6灯丝,灯丝寿命可达1500小时 放大倍数:20×-45,000× 分辨率:优于25nm 加速电压:5/10/15kV 三档 具有3D粗糙度重建、纤维统计测量,高倍拼图等拓展功能。 日本电子JCM6000扫描电子显微镜   JCM6000是日本电子2012年9月推出的新产品,是目前市面上唯一一台,即包含有二次电子探头,又包含有背散射电子探头的桌上型扫描电子显微镜。它的放大倍率可以到6万倍,也是桌上型SEM中最高的,并且同时具备高低真空两种模式。加速电压:15kV / 10kV / 5kV3档切换 (二次电子)、15kV / 10kV 2档切换 (背散射电子) 放大倍率:×10 ∼ ×60,000 (二次电子)、×10∼ ×30,000 (背散射电子)。 Delong America公司LVEM5台式透射电子显微镜   Delong America公司2012年4月推出了LVEM5超小型透射电子显微镜,采用独特的倒置式设计。体积仅为传统透射电镜1/10,价格仅为其1/3,彻底改变了透射电镜体积庞大、使用复杂的不便性。该仪器将透射电镜、扫描电镜创造性的合为一体,在一台仪器上实现了透射、电子衍射、扫描、扫描透射电镜4种功能。采用特殊设计的倒置肖特基(Schottky)场发射电子枪,提供高亮度高相干的电子束。电子枪使用寿命可达2000小时以上。5kV低加速电压使LVEM5对轻元素样品的衬度显着提高,观察生物样品无需染色。分辨率:2nm(TEM)、3nm(SEM)。   关于申报新品   凡是“网上仪器展厂商”都可以随时免费申报最新上市的仪器,所有经审批通过的新品将在仪器信息网“新品栏目”、“网上仪器展”、“仪器信息网首页”等进行多方位展示 越早申报的新品,将获得更多的展示机会。 撰稿编辑:秦丽娟
  • 湖北大学电镜采购项目开启:2套冷冻电镜+1套透射电镜
    p   日前,湖北大学发布冷冻电镜、透射电镜采购项目国际招标公告(招标项目编号:0668-1940H0200018),拟采购1套300kV冷冻电镜、1套200kV冷冻电镜、1套120kV透射电子显微镜。投标截止时间(开标时间):2019-04-02 09:30。 br/ /p p   招标产品列表(主要设备)及简要技术规格如下: /p table border=" 1" cellspacing=" 0" cellpadding=" 0" width=" 605" align=" center" tbody tr class=" firstRow" td p style=" text-align:center " 序号 /p /td td p style=" text-align:center " 产品名称 /p /td td width=" 28" p style=" text-align:center " 数量 /p /td td width=" 304" p style=" text-align:center " 简要技术规格 /p /td td p style=" text-align:center " 备注 /p /td /tr tr td p style=" text-align:center " 1 /p /td td p style=" text-align:center " 300kV冷冻电镜 /p /td td width=" 28" p style=" text-align:center " 1套 /p /td td width=" 304" p style=" text-align:center " *2.1 & nbsp & nbsp 采用超亮热场发射电子枪;*10.4 一次能够装载12个或以上样品,并能够自动更换和转移样品。待用样品在低温样品停泊装置保持在冷冻状态连续无污染存放时间不小于4天(96小时);*10.6 样品连续收集数据可持续时间:同一样品在镜筒内可以保持在冷冻状态连续无污染收集数据时间不小于4天(96小时);*12.1镜筒后能量过滤直接电子探测系统;*12.2.2像素点不小于14 m;*12.2.7 一体化直接电子检出器必须与主机同品牌,使用统一软件控制,并在同一电脑上工作。不接受组装; /p /td td p style=" text-align:center " 其中配件:直接电子探测系统(配置及技术规格见 300kv冷冻电镜招标技术指标 第12.1项)交货期为合同签订后6个月内 /p /td /tr tr td p style=" text-align:center " 2 /p /td td p style=" text-align:center " 200kV冷冻电镜 /p /td td width=" 28" p style=" text-align:center " 1套 /p /td td width=" 304" p style=" text-align:center " *1.1信息分辨率: 0.23nm @ 0 度倾斜处; 0.34nm @ 70度倾斜处;*3.1电子枪类型:超亮热场发射电子枪;*3.2 束流:1nm束斑电流 1.2 nA;*5.1 一次能够装载12个或以上样品,并能够自动更换和转移样品。待用样品在低温样品停泊装置保持在冷冻状态连续无污染存放时间不小于4天(96小时);*7.1 Thon Ring 2.7 @ -2um欠焦下;*9.1一体化高分辨率电子采集记录装置:直接电子探测器, 无需光电转换;*9.2点阵尺寸4096 4096;*9.3像素点不小于14 m;*9.8一体化直接电子检出器必须与主机同品牌,使用统一软件控制,并在同一电脑上工作。不接受组装; /p /td td br/ /td /tr tr td p style=" text-align:center " 3 /p /td td p style=" text-align:center " 120kV透射电子显微镜 /p /td td width=" 28" p style=" text-align:center " 1套 /p /td td width=" 304" p style=" text-align:center " *2.2加速电压:20~120 kV(最小50 V/步长可变);*4.1 成像系统:CPU控制的6级透镜系统,物镜、中间镜和投影镜均为两级 *4.2 图像不随放大倍数放大而旋转, XY样品移动方向,XY坐标不变。保证使用过程的直观和便捷;*4.4 标准配置高灵敏度的探测相机(速度 & nbsp & nbsp 40fps)和CMOS主相机,使用更高衬度、高灵敏的探测相机实现远程控制电镜。;*4.5 全自动马达控制聚光镜光阑、物镜光阑系统:实现远程控制;*5.3 配置cold trap冷井装置,保证样品区域和镜筒的清洁度;6.2样品移动:*样品移动:CPU控制五轴马达驱动;*样品位移: X/Y: 2 mm,Z: 0.75 mm;*7.1 分辨率: 4k 4k 像素大小 14um;*7.6 与主机同品牌; /p /td td br/ /td /tr /tbody /table p   招标人:湖北大学 /p p   地址:中国湖北省武汉市武昌友谊大道368号 /p p   联系人:郭老师 /p p   联系方式 :027-88662913 /p p   招标代理机构:湖北省成套招标股份有限公司 /p p   地址:武汉市武昌区东湖西路特2号平安财富中心B座7楼 /p p   联系人:谭韫、胡小康 /p p   联系方式 :027-87816666-8212、8209 /p
  • 国产光学显微镜龙头企业入局电镜赛道:台式电镜产品正推向市场
    2月8日,有投资者在互动平台向麦克奥迪(SZ300341)提问:“你好,请问贵公司有生产电子显微镜产品吗?”麦克奥迪表示,公司目前有台式电镜产品正逐步推向市场。据麦克奥迪MOTIC全系列显微镜的河南省总代理消息显示,“麦克奥迪(Motic)发布了最新研发的台式扫描电镜(Scanning Electron Microscope,简称SEM),这一突破性的技术为科研工作者和工业界带来了更高效、更精准的微观观测解决方案。这款新型台式扫描电镜采用了先进的电子光学技术和图像处理算法,实现了高分辨率和高灵敏度的观测。相较于传统的扫描电镜,新款台式扫描电镜具有更高的稳定性和耐用性,能够满足长时间连续观测的需求。麦克奥迪的台式扫描电镜在设计上充分考虑了用户体验,其简洁直观的操作界面和智能化的功能设置使得用户能够快速上手。此外,该电镜还支持多种样品台,适用于各种不同类型的样品观测。该产品的推出对于科研和工业领域具有重要意义。在生命科学领域,研究人员可以利用台式扫描电镜观察细胞和组织的细微结构,深入了解生命过程的奥秘。在医学领域,医生可以利用该设备进行病理诊断和药物研发,提高疾病诊断的准确性和治疗的有效性。在材料科学和工程领域,研究人员可以利用台式扫描电镜观察材料的微观结构和性能,为新材料的研发和应用提供有力支持。麦克奥迪的台式扫描电镜以其卓越的性能和广泛的应用前景,将为科研和工业界带来更多的创新和突破。我们期待这款产品能够在未来的科学研究、工业生产和科技进步中发挥更大的作用。”记者从麦克奥迪官网(MOTIC)获悉,麦克奥迪实业集团有限公司始创于1983年,目前系北京亦庄投资控股有限公司混改所有制企业、深证交易所创业板上市公司麦克奥迪(厦门)电气股份有限公司100%全资控股的企业集团。主要从事光学显微镜的研发、生产和销售,主要产品以数码显微镜、显微图像集成系统和自动显微镜为代表。三大类型产品包含近百个型号,主要包括MOTIC、SWIFT、NATIONAL、CLASSICA等品牌。
  • 2017日立高新电镜新品发布会:SEM/TEM/电镜前处理三款产品齐发
    p    strong 仪器信息网讯 /strong 2017年6月27日下午,天美(中国)科学技术有限公司携手日立高新技术公司在北京凯迪克格兰云天大酒店召开日立电镜新品发布会。近八十名来自科研院所、企事业单位的日立高新用户、专家代表参加了此次会议。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/198e0440-f0b4-43be-a3fd-1a1fd3b1fa31.jpg" title=" 1.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " strong 发布会现场 /strong /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/76813912-57ea-403d-9c85-bdde5ca930fa.jpg" title=" 2.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " strong 日立高新透射资深应用专家松本弘昭(右)致辞,席小宁(左)翻译 /strong /p p   松本弘昭在致辞中表示了对与会人员的谢意,并宣布在中国首次发布日立冷场发射扫描电镜Regulus系列、日立120KV透射电镜Ruli TEM HT7800系列、日立扫描电镜制样设备离子研磨仪ArBlade 5000等三款明星产品。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/d091cfe3-2967-4e35-9a57-218b4fc2dc5f.jpg" title=" 3.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " strong 天美(中国)科学仪器有限公司副总裁赵薇致辞 /strong /p p   赵薇向大家介绍了天美(中国)科学技术有限公司的全球发展历程:2004年走进亚太、2009年走进欧洲、2014年走进美国等,最终“一个天美”整合,实现全球化战略,并将“成为享誉世界的专业科学仪器公司”作为企业的愿景与使命。 /p p style=" text-align: center " span style=" color: rgb(255, 0, 0) " strong 日立冷场发射扫描电镜Regulus系列 /strong /span /p p   日立电镜市场部全球技术支持立花繁明首先介绍了日立高新场发射扫描电子显微镜(FE-SEM)产品的发展历程,从最初的TM3030Plus到目前全球分辨率最高水平的SU9000等。“Regulus系列”是日立高新FE-SEM的全新品牌,包括作为SU8010的后续机型开发的“Regulus8100”以及SU8200系列的升级“Regulus8220”“Regulus8230”“Regulus8240”,共4个机型,均实现了分辨率和操作性的强化。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/558695c1-8024-4155-a317-e5fe7eb8f210.jpg" title=" 00.png" / /p p style=" text-align: center " strong Regulus 8100 /strong /p p   日立高新FE-SEM 产品的闻名离不开其两项独到的技术,即冷场发射电子枪技术以及电子光路的EXB技术。“Regulus系列”在这两项独有技术的基础上进行了进一步最优化处理,使得着陆电压在1kV时分辨率较前代机型提高了约20%。“Regulus8220/8230/8240”达到 0.9nm,“Regulus8100”为1.1 nm的分辨率。另外,最适合低加速电压下高分辨观察的冷场电子枪可将样品的细节放大,并获得高质量的图片。最大放大倍率也由之前的100万倍提高到了 200万倍。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/7a193ccd-b9c4-409c-9f82-9f695a8edee4.jpg" title=" 4.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " strong 立花繁明介绍Regulus系列新品 /strong /p p   除此之外,分辨率的提升往往带来用户操作难度的加大,为了能更好的应对不同样品的测试和保持并发挥出高性能,且更易操作,Regulus系列对用户辅助工能进行了强化,如信号检测系统的操作辅助功能,维护辅助功能等。 /p p style=" text-align: center " span style=" color: rgb(255, 0, 0) " strong 日立120KV透射电镜Ruli TEM HT7800系列 /strong /span /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/2ab87448-de7b-45a8-955f-656eecb5837a.jpg" title=" em-ht7800_main.png" / /p p   据日立电镜市场部全球技术支持许斐麻美日介绍,新一代全数字化120kV透射电镜Ruli TEM HT7800系列目前包括HT7800和HT7830两种型号,此系列产品操作的一体化和自动化程度都有明显提高。随着时代的发展和科技的进步,全数字化必将是透射电镜的发展趋势。HT7800系列数字化的优势具体表现在以下几个方面: /p p   一、HT7800系列采用日立最新设计的第二代双隙物镜,很好地继承了日立120kV-TEM的基本理念,即兼顾低倍率与宽视野观察、高衬度与高分辨率观察可在同一仪器上一键切换等。对比于其他厂家的独立模式设计,HT7800可在同一台透射电镜上实现两台电镜的功能。集高衬度和高分辨两种模式于一体,可同时满足软材料/纳米材料类和生命科学类客户对电镜的需求。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/cf39c907-4908-4659-bdfa-0d8835279360.jpg" title=" 5.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " strong 许斐麻美介绍日立高新120kv透射电镜HT7800系列新品 /strong /p p   二、使用高速高灵敏度的CMOS荧光屏相机取代了传统的荧光屏观察窗,将 TEM 操作统一于显示器上,实现透射电镜操作的全数字化,可以在明亮的室内进行观察。 采用荧光屏相机实现透射电镜的全数字化,具有保护操作者、保护样品、显著改善操作环境、高速CMOS荧光屏相机等优点。 /p p   三、标准搭载涡轮分子泵(TMP),实现绿色真空。HT7800所使用的真空泵包括机械泵和涡轮分子泵,均为标准配置。 /p p   四、标配三维重构功能及± 70° 倾转样品台。一般情况下透射电子显微镜只能提供样品的平面投影图像,而无法直接获知其三维立体信息。在标准配置下,HT7800就可以通过± 70° 的连续倾转、拍摄及电脑重构,得到样品的立体形貌信息。 /p p   五、强大的自动拼图功能。这是数字化带来的另一革命性优势。HT7800可通过样品台移动和电子束移动两种方式实现全倍率下的自动拼图,可得到最大分辨率16倍于主相机的无缝拼接大视野样品图片。图片存储时,自动保存样品位置与样品杆旋转信息,在自动拼接过程中实现高精度对中。举例来说,一次3× 3的拼接,全过程可在4min左右完成。 /p p   六、方便而精准的自动连续拍摄功能等。 /p p style=" text-align: center " span style=" color: rgb(255, 0, 0) " strong 日立扫描电镜制样设备离子研磨仪ArBlade 5000 /strong /span /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/97a276dc-c612-4e92-9b5c-fe82bbd76223.jpg" title=" em-arblade5000_main.png" / /p p   SEM的高性能、多功能化方面的进步伴随着SEM用途的扩大,为了实现评价的目的,样品的前处理难度也随之提高。相比其他前处理方法,离子研磨法具有操作简单、无应力加工、软材料可应对等特点。日立电镜应用工程师席小宁首先介绍了日立离子研磨仪由平面研磨仪到截面研磨仪,再到平面截面研磨一体机的变迁过程。并表示,此次推出的ArBlade 5000是IM4000/IM4000PLUS的高端版,其特点除了之前产品兼具的多目的前处理外,还具有高效率的样品截面制样、样品广域截面制样、触摸操作等特点。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/ec5118bc-77bb-4a30-9176-22029f659a07.jpg" title=" 6.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " strong 席小宁介绍ArBlade 5000 /strong /p p   多目的前处理是指平面研磨和截面研磨时分开的,可以适用于多种用途。高效率样品截面制样主要体现在通过新开发的氩离子枪(PLUS II离子枪),实现截面加工速率1mm/hr!样品广域截面制样是基于IM4000/IM4000PLUS截面研磨的加工宽度1mm略显不足的问题,ArBlade 5000样品台滑动幅度可设置,并可进行顺滑调整,截面加工宽度最大可达8mm。触摸操作则增加了定时功能、2end研磨功能、离子束间歇式加工等人性化功能。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/41529de2-ca68-4842-9652-97f58d947394.jpg" title=" 9.jpg" / /p p style=" text-align: center "    strong 北京电镜学会理事长孙异临(左),北京师范大学分析测试平台的李永良(右) /strong /p p   会后交流中北京电镜学会理事长孙异临表示,自己使用日立电镜产品已经有很多年的时间,总体感觉就是稳定性好、性能好、售后服务好的“三好设备”。同时,日立冷场电镜在北京第一个用户北京师范大学分析测试平台的李永良教授也表示,使用那台设备已经整整13年,每年平均机时在3000小时以上,最多的感觉就是“皮实”,应用也十分广泛,收到过来自全国各地各种各样的样品,包括环境、材料、物理等多个领域。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201706/insimg/3b6abf2f-2869-495a-85e5-9b3211952fc5.jpg" title=" 0.jpg" / /p p style=" text-align: center " strong   会后合影留念 /strong /p
  • 2013回顾:电镜新品盘点
    与2012相比,2013年的电镜市场可谓异常活跃。FEI、卡尔蔡司、日立、日本电子、TESCAN等电镜厂商都有新产品问世,同时通过收购实现新的增长。而在国内中科科仪顺利获得了&ldquo 场发射枪扫描电子显微镜开发和应用&rdquo 国家重大科学仪器设备开发专项立项。以下我们将从2013电镜新产品、行业并购、国产电镜仪器的最新进展等几个方面对2013年的电镜仪器发展做一盘点,以飨读者。  关于新品   如何避免损伤样品,实现在低加速电压下获得良好的观察和分析效果,是电镜仪器发展的一个目标。在2013年推出的电镜新品中,几乎都涉及了这一特点。日立推出的SU8200系列新型冷场电子枪配合各个能谱厂家的大口径高灵敏度的X射线探头,即便是在低加速电压下也可做高空间分辨率的能谱分析(元素分析) 通过优化电子光学系统,日立新推出的TM3030台式电镜提供了一个&ldquo 5kV mode&rdquo ,使得样品表面结构得到最好观察,并且观察不需要在高加速电压下进行。蔡司推出新一代EVO系列扫描电镜,采用电子束减速技术和高清晰度的BSE检测器可以提供丰富的表面形貌信息,在低加速电压下也可以获取精细的成像信息。TESCAN推出的MAIA场发射扫描电子显微镜,并擅长在低加速电压下的观察及相关分析,MAIA融入了许多电子光学设计实现了在50V的电压下依然保持出色的性能。   自动化和智能化是现代分析仪器发展的一个重要趋势, 电镜生产商们在这一方面也做了不少的努力。在2013年新推出的电镜产品中,有不少关于自动化和智能化的设计。蔡司EVO系列扫描电镜通过自动成像设置等将典型的工作流程从400步操作变成了15步。日本电子JSM-IT300的操作采用触摸屏控制,实现操作电镜就像在玩手机。FEI Talos TEM平台是完全数字化的,允许远程操作,并且它可以增加用于特定应用程序的检测器或动态实验的样品架。日立高新SU8200系列冷场发射扫描电镜 2013年日立高新推出了新型冷场发射扫描电镜(FE-SEM)SU8200系列,SU8200系列有SU8220、SU8230、SU8240三款机型。该系列仪器采用了全新开发的冷场电子枪,实现超高分辨率观察的同时,亦可满足长时间下稳定的束流分析需求。新型冷场电子枪配合各个能谱厂家的大口径高灵敏度的X射线探头,即便是在低加速电压下也可做高空间分辨率的能谱分析(元素分析)。另外,在观察性能方面,通过提高马达台的抗震动能力和光路的优化将最高分辨率提高了20%(与前代机型SU8000系列相比),在加速电压15KV时达到1.0nm,在着陆电压1KV时达到1.1nm。台式扫描电镜TM3030   另外在2013年5月7日,日立高新技术公司推出台式扫描电镜TM3030。TM3030的主要特点是:开机启动时间非常短,只需约3分钟,而常规电子显微镜大约需要20分钟的启动时间。TM3030独特的低真空显微镜功能使得样品不需任何处理即可快速进行观察。紧凑型设计意味着TM3030可以在办公室和其他地方方便地安装和操作。TM3030提高了图像的分辨率,满足了客户寻求高分辨率、不需样品制备的需求。通过优化电子光学系统,TM3030提供了一个&ldquo 5kV mode&rdquo ,使得样品表面结构得到最好观察,并且观察不需要在高加速电压下进行。蔡司EVO系列扫描电镜   蔡司是全球唯一一家提供电子显微镜、激光共聚焦显微镜以及传统光学显微镜的厂商。在2013年显微镜&显微分析会议上,蔡司推出了EVO系列扫描电镜。该系列电镜主要用于材料及生命科学分析。EVO系列扫描电镜将典型的工作流程从400步操作变成了15步。工作流程效率的提升主要通过自动成像设置,如电子束的校准、放大和聚焦等,实现了在最短的时间内对感兴趣的区域进行成像。EVO系列扫描电镜可以对非常精细的表面细节成像。电子束减速技术和高清晰度的BSE检测器可以提供丰富的表面形貌信息,在低加速电压下也可以获取精细的成像信息。日本电子钨灯丝扫描电镜JSM-IT300   2013年,日本电子 (JEOL)推出了最新一代钨灯丝扫描电镜JSM-IT300。JSM-IT300的操作采用触摸屏控制,实现操作电镜就像在玩手机。仪器改进了电子光学系统增强了图像质量,并采用了全新的真空系统,标配了5轴马达驱动超高精度样品台,扩展功能更加强大。日本电子热场发射扫描电镜JSM-7610F   另外,在2013年JEOL还对超高分辨热场发射扫描电镜JSM-7600F进行了升级,升级后的型号改为JSM-7610F。JSM-7610F保持了JEOL超长寿命,超高亮度电子枪的特点,外观更加美观时尚、操作更加简单,分析能力更加强大。   2013年8月1日,在2013 Microscopy & Microanalysis会议期间FEI宣布推出三款专门满足特殊的应用和行业需求的透射电镜(TEM)新品。它们是专门用于先进的半导体制造业设的Metrios&trade TEM,为材料和生命科学研究提供高速成像分析的Talos&trade TEM ,以及提供原子量级材料特性研究Titan&trade Themis&trade TEM。   Metrios&trade TEM系统的基本操作和测量程序广泛的自动化,最大限度地减少对操作人员培训的要求。其先进的自动化计量提供比手工操作更高的精度。Metrios&trade TEM的设计,相比其他电镜,将为客户提供更好的分析通量和较低的成本。   Talos TEM结合高分辨率,高通量的TEM快速成像,以及精确定量的能量色散X-射线(EDX)分析,提供先进的分析性能,分辨率可达0.16nm。新的TEM采用了FEI目前亮度最高的电子源和最新的EDX检测技术,可实现对低浓度和轻元素的高效分析,并拥有FEI独家的3D EDS X射线断层成像技术。在较低的加速电压下,允许使用能量较低的电子束,以减少对样品的损伤。Talos平台是完全数字化的,允许远程操作,并且它可以增加用于特定应用程序的检测器或动态实验的样品架。   Titan Themis TEM增强了FEI在原子级成像分析方面的领导地位。研究人员使用高分辨率像差校正TEM来研究大尺寸材料的物理性质以及原子尺度之间的组成和结构的关系。Titan Themis平台可直接测量物理属性,如磁场,纳米尺度,以及下降到原子尺度时的电场。从样品定位到最终数据采集整个流程均实现了自动化,提高结果的重复性和再现性,从而使用户以更少的时间和精力获取更有信心的结论。TESCAN MAIA场发射扫描电子显微镜   此外,2013年TESCAN推出了MAIA场发射扫描电子显微镜,该仪器具有出色的高分辨性能,并擅长在低加速电压下的观察及相关分析。MAIA融入了许多电子光学设计实现了在50V的电压下依然保持出色的性能。模块化的设计可满足特定应用需求的定制要求。  关于并购和重组   在过去一年中,电镜领域的并购事件主要有:2013年7月蔡司收购了超高分辨率 3D X射线显微镜研究的开拓者Xradia公司,Xradia公司于2000年在美国加州成立,是世界上第一个也是唯一一个将同步辐射技术应用于实验室的3D成像仪器研究的公司。Xradia目前拥有110名员工,截至上个财年,全球装机量达到185台。蔡司总裁兼首席执行官Michael Kaschke博士曾表示:&ldquo 在蔡司的业务组合中,显微镜业务是一个强大的支柱。收购Xradia的决定是经过仔细考虑的,通过产品线结合,蔡司将能够更好地满足市场对多通道显微成像日益增长的需求,开发解决方案,为我们科学研究和工业领域的客户创造新的价值&rdquo 。   2013年8月TESCAN与世界著名的离子束仪器制造商法国ORSAY PHYSICS合并成立TESCAN-ORSAY。从2007年起,ORSAY PHYSICS就与TESCAN合作,提供聚焦离子束设备中的离子光学系统。ORSAY PHYSICS专注于聚焦离子和电子束的研发,可提供Ga聚焦离子束、以合金为基础的聚焦离子束、等离子聚焦离子束、气体注入系统等。TESCAN首席执行官Jaroslav Klima说:&ldquo TESCAN和ORSAY PHYSICS都特别注重创新,此次合并将进一步推动我们的电子和离子束技术的发展。&rdquo   另外,在2013年TESCAN还收购了ELFAST公司。 ELFAST公司是一家金属加工企业,同TESCAN的合作超过10年。TESCAN收购这家公司的主要目的是改善仪器研发和生产之间的关系,并且TESCAN认为未来针对特殊需求用户的定制仪器的市场将会增长。  关于国产电镜   中科科仪是目前国内唯一从事扫描电镜仪器生产的厂商,长期以来一直以生产钨灯丝扫描电镜为主。&ldquo 十一五&rdquo 期间,中科科仪参与了&ldquo 国家科技支撑计划重大项目&rdquo &mdash &mdash &ldquo 场发射枪透射电子显微镜的研制&rdquo ,主要承担200kV场发射枪和真空系统的设计和制造。2013年,中科科仪又顺利获得了&ldquo 场发射枪扫描电子显微镜开发和应用&rdquo 国家重大科学仪器设备开发专项立项,力争将国产场发射扫描电镜推向市场。编辑:秦丽娟   声明:此为仪器信息网研究中心的研究信息,未经仪器信息网书面形式的转载许可,谢绝转载。仪器信息网保留对非法转载者的侵权责任追讨权。如需进一步信息,请联系刘先生,电话:010-51654077-8032。
  • 飞纳电镜新高度,让台式扫描电镜分辨率突破 10 nm
    庆祝飞纳台式扫描电镜再创新高度,分辨率突破 10 纳米,将台式扫描电镜的分辨率从真正意义上提高到个位数。飞纳电镜现已成为台式扫描电镜市场领导者,是主流扫描电镜厂家中,唯一只专注台式扫描电镜研发的厂商。研发的投入取得了显著的成果,飞纳电镜成为首个采用高亮度,1500 小时寿命 CeB6 灯丝的厂家,一举成为主流台式扫描电镜中分辨率最高的;同时,将扫描电镜抽真空的时间缩短为 15s,速度惊人;首次在扫描电镜中集成光学显微镜,方便用户获得样品台的全貌,有了它,就像有了谷歌地图,用户对样品的位置可以有清晰准确的定位,结合全自动马达样品台,查找样品某个位置快速简单。 回顾飞纳台式扫描电镜的历史:1997 年,FEI 和飞利浦电子光学宣布合并其全球业务,强强联手,代表了全世界最先进的电镜技术;2006 年,FEI 成立 Phenom World 公司,发布全球第一台台式扫描电子显微镜飞纳(Phenom),放大倍数 10,000 倍;2012 年 3 月,Karel.Mast 教授带领原飞利浦电镜部门精英研发出世界首台电镜能谱一体机,能谱探头从此可以安全地待在电镜外壳内部;同时推出 3D 粗糙度测量等软件;2013 年 4 月,Phenom World 优化 CeB6 灯丝和内部防震设计,将分辨率优化到 17 nm, 正式推出第三代产品,放大倍数 100,000 倍,与大型钨灯丝电镜分辨率接近,同年 11 月推出颗粒测量统计系统;其后不久,Phenom World 推出了新产品 Phenom XL, 样品尺寸 100*100 mm,可选配二次电子,拓展功能媲美大型钨灯丝电镜;同时推出了孔径测量统计系统;2015 年,PW 推出第 4 代产品,分辨率达到 14 纳米,放大倍数 13 万倍;同年,PW 推出了世界首台荧光电镜一体机 Delphi,首将关联电镜技术发展成为台式设计;飞纳,不仅仅代表着扫描电镜,更代表着一种创新精神,一种追求卓越的精神,飞纳电镜用实际成果带给人们不断的惊喜。2016 年,飞纳电镜第 5代产品,分辨率突破 10 nm。第 4 代 Phenom Pro 飞纳电镜专业版是 14 nm 的高分辨率台式扫描电镜,放大倍数 13 万倍;第五代的 Phenom Pro 检测结果,分辨率优于 10 nm.飞纳电镜性能稳定可靠,经得起客户的实地考察,经得起市场的检验,飞纳,会成为您工作最佳的搭档!2015年,第四代高分辨率专业版 Phenom Pro 分辨率 14 nm2016年,第五代高分辨率专业版 Phenom Pro 分辨率优于 10 nm
  • 飞纳台式扫描电镜-浙江省电镜与微结构专业委员会交流会 2016 圆满成功
    2016 年 3 月,飞纳电镜与浙江省电镜与微结构专业委员会专家开展了关于飞纳台式扫描电镜最新产品介绍及其应用的学术交流会,通过本次交流,使得各位专家对飞纳电镜有了更深一步的认识,同时,对飞纳电镜的应用拓展也提出了许多专业宝贵的建议。在交流会上,还展出了飞纳电镜畅销产品:飞纳电镜能谱一体机Phenom ProX。会议中除了内容丰富的技术演讲之外,还举行了形式多样的技术交流活动,会议现场气氛热烈。本次学术交流会有幸邀请到了浙江省分析测试协会会长莫卫民老师,浙江省电镜与微结构专业委员会李吉学老师,浙江省电镜与微结构专业委员会张孝彬等专家。飞纳电镜基于 CeB6 灯丝高质量的测试效果,15 秒抽真空,防震设计,可放置在任意楼层,对不导电样品无需喷金直接观测,及操作维护简便,得到了与会专家的一致认可。飞纳台式扫描电镜大样品室卓越版Phenom XL 飞纳电镜为满足车辆,采矿,钢铁,文博考古等行业大样品无损测试的需求,于 2015 年发布了旗下大仓体台式扫描电镜——飞纳台式扫描电镜大样品室卓越版 Phenom XL,其最大样品尺寸可达 100mm x 100mm x 65mm,可满足各类大样品的分析。同时 Phenom XL 继承了飞纳电镜一贯的独家优势,高亮度、长寿命、低色差的 CeB6 灯丝,防震设计,快速抽真空,光学电子两级导航,配合全自动马达样品台,操作简便等,突破了台式电镜样品仓空间的限制,使得大样品仓需求的客户有了更适合的台式扫描电镜选择。飞纳台式扫描电镜大样品室卓越版 Phenom XL飞纳台式扫描电镜能谱一体机Phenom ProX 飞纳台式扫描电镜能谱一体机 Phenom ProX 是飞纳电镜系列中最畅销的机型之一,开创了台式电镜能谱一体化设计。经典的 15 秒抽真空源于专利样品杯及真空分级专利技术,Phenom ProX 同时还兼具了飞纳电镜高效的全自动马达样品台,及光学电子两级导航系统等特点。飞纳独家采用的高亮度 CeB6 灯丝,对不导电材料可无需喷金处理直接观测,针对不同形态样品有拓展的金相样品杯,温度控制样品杯,降低荷电效应样品杯等各类附件选择,能满足不同形态样品的测试需求。??飞纳台式扫描电镜能谱一体机 Phenom ProX 飞纳台式整合光电关联显微镜德飞 Delphi CLEM 飞纳台式整合光电关联显微镜德飞 Delphi CLEM 是荷兰扫描电镜制造商 Phenom-World 和荷兰荧光显微镜制造商 Delmic ,于 2015 年联合推出的全球首款,将荧光显微镜和台式扫描电镜高度整合在一起的设备。德飞 Delphi 采用光电关联显微技术(CLEM),荧光定位样品中感兴趣区域,扫描电镜接力高倍观察。通过在荧光图像中叠加电镜图像,在一张图像里可同时获得样品功能物质分布信息和高分辨结构信息。电镜不能感知荧光信号,光电关联显微技术的难点是在电镜里找回荧光所确定的感兴趣区域,德飞使用图像无缝切换技术,两种光路对同一位置点直接成像,彻底解决了这个难题。德飞 Delphi 的专利电子束自动校准技术,无需人工干预就能得到精度高达 50nm 的荧光和电子叠加照片,既节约了时间,又确保了叠加图像的可信度。德飞面向广大生物工作者,提供可信,高效,简便的生物图像解决方案,是连接荧光显微镜和扫描电镜的桥梁。飞纳台式整合光电关联显微镜德飞 Delphi CLEM 飞纳电镜专注于台式电镜的创新与突破,愿与您分享经验,交流心得。期待您的光临。
  • 【千里行】天美特邀日立电镜工程师巡访透射电镜HT7700用户
    挚诚服务 共同进步 携手未来 天美特邀日立电镜工程师巡访透射电镜HT7700用户 天美公司第十三届&ldquo 行千里路,送天美情&rdquo 系列活动在3月中旬正式启动,各服务团队对用户的走访和培训工作陆续展开。随着日立新一代透射电子显微镜HT7700在国内安装并相继投入使用,为帮助客户更好地使用HT7700,针对透射电镜HT7700用户,天美特邀日立电镜应用工程师开展了为期一周的客户巡访。2012年3月12日至2012年3月16日,日立资深应用工程师仲野靖孝在天美公司工程师、经理等的陪同下,一道对大连化物所用户和西北农林科技大学用户进行了走访。期间,日立电镜工程师对客户做了较为全面的、系统的、有针对性的应用培训,包括透射电镜结构简介、软件界面和功能的介绍,以及日常操作的使用规范等。 3月12日至3月14日,工程师一行在大连化物所对客户进行了为期两天的应用培训。大连化物所用户主要观察的样品为纳米材料类,针对用户的情况,日立电镜应用工程师特别演示了衍射和暗场像的观察,将仪器调试到最佳使用状态,并拍摄出清晰的高分辨图片。用户对120kV透射电镜能够拍摄出如此清晰的高分辨图片表示惊讶,各位实验室老师对拍摄出的高分辨图片赞不绝口,并亲自上机操作,对HT7700的性能给予了高度的肯定。 3月15日至3月16日,工程师一行到达西安杨凌,对西北农林科技大学的实验人员进行培训。西北农林科技大学用户主要观察的样品为植物细胞、组织类,对此,日立工程师特别对用户培训了自动拼图、自动预辐照等功能的操作。实验室老师对自动拼图的效果尤为称赞。张老师说,自动拼图的速度快,无缝拼接效果好,可以同时满足分辨率和大视野两个要求,对生物样品的观察很有意义。 培训期间,工程师与用户做了深入的交流。工程师耐心解答用户提出的问题,并对用户上机操作进行悉心指导。通过这次培训,用户进一步加深了对HT7700的认识,对电镜的操作更加熟练。此外,用户对HT7700的评价很高,高程度的自动化和一体化,简易的操作过程,让实验人员完全放心让学生自己上机进行操作;操作无需在暗室进行,大大改善了操作者的操作环境;HC/HR两种模式的方便切换,也给样品的观察提供了更多观察条件的选择。 本次用户巡防与应用培训,获得用户的一致称赞,用户对HT7700的良好反馈,为HT7700在国内的陆续安装与使用奠定了很好的基础。用户一致表示此次应用培训意义非凡,同时我们也感到责任重大。天美将会一如既往地为客户提供卓越的服务!
  • 飞纳电镜访复旦大学分析测试中心王老师,让扫描电镜在学生中普及
    复旦大学化学系分析测试中心分别于 2015 年和 2016 年先后购买飞纳电镜 Phenom Prox 和飞纳电镜 Phenom XL,24 小时对外开放使用。给全校师生的科研工作带来了极大的方便。飞纳电镜能谱一体机 Phenom ProX飞纳电镜全自动显微平台 Phenom XL复旦大学注重学生的全面发展,培养学生的动手操作能力,逐渐让扫描电镜的相关知识和技术在学生中普及。飞纳台式扫描电镜,操作简单,维护方便,上手快,学生很快就能学会,亲自上手操作,第一时间知道自己的实验成果。可在飞纳电镜中国官网的该篇新闻中观看复旦大学分析测试中心王老师的采访视频现在,很多企业,外校的学生也经常来这里测试,不需要漫长的排队等待,很快就能知道测试结果。飞纳台式扫描电镜配有彩色光学显微镜全景导航,全自动马达样品台,可以很快找到感兴趣的位置,点到哪里,看到哪里。同时 15 秒抽真空,30 秒成像,1 个小时可以看很多个样品,大大提高了效率。
  • 新品发布|蔡司扫描电镜原位解决方案
    扫描电镜原位技术已经广泛应用于材料科学研究的各个领域,它可以将材料宏观性能与微观结构联系起来,这对研发高性能新型材料非常有帮助。但电镜原位实验从来都不是一个简单的工作,有的时候甚至还需要一些运气。 为了让电镜原位实验变得更加智能高效,蔡司最新推出了扫描电镜原位解决方案。今天就让我们一起看看,蔡司这套原位解决方案拥有哪些黑科技吧! l 高度集成化:告别手忙脚乱▲ 蔡司扫描电镜原位解决方案 蔡司扫描电镜原位解决方案将扫描电镜、原位样品台、ebsd和eds控制软件深度整合,在单台pc的一个软件中就可以控制所有硬件,实现成像、分析以及原位样品台参数设定的高度集成。 l 开创性自动化实验流程:节省时间+解放双手 ▲ 在原位拉伸过程中的不锈钢样品不同roi的se和bse图像(asb探测器),观察到滑移带形成。 蔡司原位电镜解决方案可实现自动化原位实验工作流程,集成化软件不仅可以自动控制样品台应力加载,还可以设定多个感兴趣区域(roi),并对不同roi进行自动追踪、自动聚焦、自动获取图像。不同roi的成像参数可以独立设定,系统还可以识别样品断裂状态并自动终止实验。 从此原位实验将变得自动智能,减少人工操作时间,大幅提升测试效率,并且可实现长达24小时的无人值守自动化测试,这样就可以充分利用夜晚时间,使电镜利用率大大提升。 l 自动获取ebsd和eds数据:获取样品全面信息▲ 800°c下加热17 小时的钢铁样品自动采集到一系列ebsd图像,展示了晶界和晶粒取向的变化。 该套新解决方案的处理软件不仅可以自动获取图像,还加入了ebsd和eds自动获取功能,可追踪并获取样品同一位置的ebsd和eds分析结果,全面分析材料变化过程。 l 数据获取和处理:高通量、高质量、高效率▲ 表面抛光的低碳钢样品 (s235jrc)。样品表面上的小颗粒用作 dic(数字图像相关)的标记。se 图像被导入 gom关联软件进行 dic 分析。图像中可以显示主要应变的幅度和方向。 自动化高效测试意味着可以得到大量实验数据,不放过样品每一个变化细节,获取具有统计意义的结果,而人工干预因素的减少也可以大大提升实验可重复性和数据可靠性。 当然,蔡司场发射扫描电镜gemini技术也是获取高质量、高分辨数据的强有力保证。该方案还配置有zeiss-gom关联软件,可对数据进行数字图像相关(dic)处理,研究样品表面应变分布。 蔡司扫描电镜原位解决方案整合了电镜、原位台、ebsd与eds软件控制,在进行原位加热和拉伸实验过程中加入高度自动化功能,使得在动态绘制应力应变曲线的同时,能够自动观察金属、合金、聚合物、塑料、复合材料和陶瓷等材料在高温和外力下的变化情况。 解放你的双手,让原位实验从此变得简单高效!来“蔡司显微镜”(zeissmik)微信公众号留言获取更多及时有效的详细信息,持续关注我们,关于蔡司扫描电镜原位解决方案,蔡司君还有更多猛料将陆续放出噢~
  • 2020年度中国市场电镜新品盘点
    2020年已经远去,这一年,电镜人对电子显微学的热情没有因为疫情而减弱。中国电子显微镜学会迎来成立40周年,其主办的“2020年全国电子显微学学术年会”再次突破1300余人,仪器信息网联合中国电子显微镜学会共同主办的“第六届电子显微学网络会议(iCEM 2020)” 参会报名更是达3500余人。行业的发展离不开新产品新技术的更新迭代,2020年度电镜新品推出受疫情影响有所减少,仪器信息网统计到8款新品上市。以下共同回顾下2020年度的那些电镜新产品新技术。近20年电子显微学仪器技术发表文章趋势图(检索条件——数据库:web of science核心集合;检索主题:扫描电镜OR透射电镜;研究方向筛选:电子显微学与仪器仪表)首先,电子显微学仪器技术相关发表文章情况来看,以上检索条件下的五千余篇文章,近20年来,电子显微学仪器技术相关发表数量逐年走高,2010年迎来快速发展;年度被引频次接近指数增长,2020年出现下滑。国家分布柱状图国家分布来看,美国以占比约20%领先,中国、日本、德国、英国紧随其后。机构分布树状图机构分布来看,发布电子显微学仪器技术相关文章较多的机构包括中国科学院、剑桥大学、名古屋大学、牛津大学、橡树岭国家实验室、日本电子、大阪大学、日立高新、代尔夫特理工大学等。2020年电镜新品上市信息一览品牌新品名称型号上市时间赛默飞Helios 5 激光 PFIBHelios 5 Laser PFIB2020年5月Axia ChemiSEM 钨灯丝扫描电镜Axia ChemiSEM2020年11月Tundra 冷冻透射电子显微镜 (Cryo-TEM)Tundra2020年11月日本电子冷场发射12极子球差校正透射电镜JEM-ARM300F22020年2月场发射扫描电镜JSM-IT8002020年5月触摸屏控制热场发射扫描电子镜JSM-IT700HR2020年8月库赛姆超高分辨率台式扫描电镜EM-30系列EM-30EM-30+EM-30N2020年4月Delmic超快自动多束电子显微镜FAST-EM2020年12月扫描电镜篇日本电子-场发射扫描电子显微镜JSM-IT800系列【产品链接】场发射扫描电子显微镜JSM-IT800系列上市时间:2020年5月创新点——大束流,长寿命电子枪,智能化操作,无漏磁物镜,大开门装样,实时能谱JSM-IT800的场发射扫描电镜通用性强,可广泛应用于纳米材料、金属材料、半导体材料、化学化工、医学及生物学领域,可高效观察包括非导体样品及强磁性样品等。JSM-IT800SHL分辨率可达0.5nm,成像能力强大。浸没式电子枪可提供500nA大电流,EDS和EBSD分析速度极快。另外JSM-IT800系列还搭载了众多全新研发的电子光学技术,自动化程度极高。日本电子-触摸屏控制热场发射扫描电子镜 JSM-IT700HR【产品链接】 InTouchScope™ 热场发射扫描电子显微镜 JSM-IT700HR上市时间:2020年8月创新点——自动化与高分辨的完美匹配扫描电子显微镜广泛应用于纳米技术、金属、半导体、陶瓷、医学和生物学等领域,从基础研究到工厂的品质管理等用途也在不断地扩展。不仅以研究为目的,快速高清晰图像的数据采集和更简便的元素分析的需求也在大大提高。 随着技术的不断创新,观察的样品也越来越小,微小样品的测定已经常态化。 基于此,浸没式热场发射扫描电子显微镜InTouchScope™ 系列 JSM-IT700HR诞生了。该设备最高分辨率1nm(高分辨)、最大探针电流300nA(是之前的15倍,告诉分析),可提供丰富的观测和分析信息。 触屏式用户界面操作,简单,设计紧凑,代表了现代仪器的使用趋势和发展方向;配备大样品室和更好的防震台,实现了从【能见】-【易见】的转变。 在操作导航GUI里增加了【信号深度显示】功能,实时了解在测样品的分析深度(参考),有效用于元素分析中。该机种有低真空观察型JSM-IT700HR/LV和低真空观察分析型 JSM-IT700HR/LA两种型号。赛默飞-新一体化钨灯丝扫描电镜 Axia ChemiSEM【产品链接】赛默飞Axia ChemiSEM 钨灯丝扫描电镜上市时间:2020年11月创新点——新一体化钨灯丝扫描电镜 Axia ChemiSEM,该仪器将微区成分分析与电镜成像集成在同一平台上,快速提供所需微观形貌及实时成分信息,帮助学术和工业用户快速获得全面、可靠的数据,准确执行故障分析和缺陷检测。更优化的SEM-EDS分析方法几十年以来,SEM-EDS的分析流程几乎没有变化:首先,扫描电镜(SEM)提供形貌/微观结构信息,然后,能谱(EDS)提供成分信息。Axia ChemiSEM则打破几十年以来的固有成规,提供更优化的SEM-EDS分析方法,将使材料分析产生重要变化:■ 一张图像即可解读形貌与成分信息;■ 缩短分析时间,速度提高至传统SEM-EDS的两倍高度灵活■ 无需特别样品制备和切割,大而重(可重达10kg)样品可轻松加载;■ 样品台轴可拆卸,可加载超大样品。操作简单,人人可用■ 先进自动化功能,无需对中,成像简单且重复性高;■ 用户指导基于样品类型,可快速提供支持。Delmic-超快自动多束电子显微镜FAST-EM【产品详情】超快的自动多束电子显微镜FAST-EM上市时间:2020年12月创新点——FAST-EM,一台创造了根本性转变的电子显微镜,实现前所未有的大面积纳米级成像,同时为操控人员腾出时间专注于数据分析和注释。FAST-EM由赛默飞 (Thermo Fisher Scientific)和荷兰Delmic公司联合开发的超快速自动多光束电子显微镜,旨在使复杂且大型的电镜项目变得简单高效。据介绍, FAST-EM使用64电子束并缩短驻留时间,使得扫描电镜提速100倍,同时为操控人员腾出时间专注于数据分析和注释。由于其高达4纳米的分辨率,FAST-EM将帮助神经生物学和癌症研究等领域实现高而准确的通量。FAST-EM可用于探索细胞结构,神经元回路网络以及生命科学中的各种生物材料的分析。对于大规模3D结构解析以及批量2D成像都十分有帮助。另外作为通用工具, 还可以显著加快日常其他常规显微成像工作的速度。透射电镜篇日本电子-冷场发射12极子球差校正透射电镜【产品链接】冷场发射12极子球差 校正透射电镜 JEM-ARM300F2(GRAND ARM™ 2)上市时间:2020年2月创新点——1)更稳定的得到冷场发射电子枪; 2)更高级的自动球差校正软件 3)更高效的能谱分析功能1 超高空间分辨率与能谱分析的组合优化。新开发的FHP2物镜极靴的特点如下:1)提高了能谱分析效率到两倍以上。2)低光学系数,低Cc系数和低Cs系数使得超高空间分辨率和高灵敏度X射线分析能够在一定范围的加速电压下执行。保证的STEM分辨率:300kV时53pm,80kV时96pm(在配置STEM扩展轨迹像差(ETA)校正器时)2 用于物镜的超宽极靴(WGP)能谱分析灵敏度超高,原位扩展极强。1)WGP极靴的能谱固体角为2.2 sr。2)WGP极靴宽度可达6mm,更方便进行各种类型的原位实验。3 JEOL开发的12极子球差(Cs)校正器和自动校正软件。1)FHP2极靴,GRAND ARM™ 2在300 kV时的STEM分辨率达到53 pm。2)WGP极靴,GRAND ARM™ 2在300 kV时的STEM分辨率达到59 pm。3)JEOL COSMO™ (自动校正软件)使快速,轻松执行像差校正成为可能。4 新式冷场发射枪(Cold-FEG)。GRAND ARM™ 2配备了新式Cold-FEG,可从电子源提供较小的能量散布。稳定性更好。5 减轻外部干扰的外壳这种新外壳是减少外部干扰(例如气流,室内温度变化和噪音)的标准。赛默飞-Tundra冷冻透射电子显微镜(Cryo-TEM) 【产品链接】Tundra 冷冻透射电子显微镜 (Cryo-TEM)上市时间:2020年11月创新点——这是一款突破性的仪器,它简单易用,价格可承受,让更多科学家能够用上冷冻电镜。为更多的科学家带来革命性的低温电子显微镜技术与成本效益,易于使用的低温透射电子显微镜。专为非专业人士设计的结构确定方案,易于安装、维护和操作。据介绍,Tundra采用了人工智能、引导式自动化技术和新型的加样器,极大地简化了电镜的使用,让更多研究人员能轻松使用冷冻电镜。集成的低温加样站取代了之前的手动操作,可快速稳定地加样并转移到显微镜中,用于结构测定。此外,它的价格更实惠,让更多的研究机构和制药公司能够获得结构信息。赛默飞生命科学部门的副总裁兼总经理Trisha Rice表示:“冷冻电镜可加速对疾病的了解和治疗。不过,由于成本高昂,而且对新用户而言操作复杂,许多机构觉得这些工具遥不可及。我们与冷冻电镜领域的权威专家合作开发的这一款冷冻电镜,不仅可为用户提供结果,更重要的是,让更多用户能够用上冷冻电镜。”Tundra丰富了赛默飞的冷冻电镜产品系列,是更多用户可以使用的一款普及型仪器。它是对中程冷冻电镜单颗粒分析(SPA)的多功能解决方案Thermo Scientific Glacios 冷冻电镜以及性能和效率强大的Thermo Scientific Krios冷冻电镜的有力补充。这三台冷冻电镜可独立或联合使用,研究人员可根据自己的研究需要选择最合适的仪器。台式电镜篇库塞姆-EM-30高分辨率台式扫描电镜系列EM系列高分辨率台式扫描电镜:EM-30、EM-30+、EM-30N上市时间:2020年4月创新点——COXEM(库赛姆)EM系列高分辨率台式(桌面式)扫描电镜打破了传统台式扫描电镜采用BSD探测器成像的局限性,采用双聚光镜成像技术,与大型扫描电镜的成像方式一致,使用二次电子探测器作为基础成像单元,从而可以获得更高的分辨率(EM-30高分辨率台式扫描电镜打破了传统台式扫描电镜采用BSD探测器成像的局限性,利用创新的双聚光镜成像技术,采用大型扫描电镜成像原理 ,使用二次电子探测器作为基础 成像单元 ,从而可以获得更高的分辨率(5nm),是真正意义上的高分辨率台式扫描电镜。EM-30+超高分辨率台式扫描电镜,将台式电镜的分辨率提高到优于5nm的水平,可与传统大型扫描电镜相媲美。同时配置了二次电子检测器及背散射电子检测器。使得台式电镜具备了完备的表面形貌及元素衬度的观测分析功能。EM-30N高分辨台式扫描电镜打破了传统台式电镜采用BSD探测器成像的局限性,利用创新的双聚光镜成像技术,采用大型扫描电镜成像原理,使用二次电子探测器作为基础成像单元,从而可以获得更高的分辨率(5扫描电镜(SEM)链接透射电子显微镜(TEM)链接聚焦离子束显微镜(FIB-SEM)链接X射线能谱仪(EDS)链接电子背散射衍射系统(EBSD)链接电镜制样设备链接电镜附件及外设链接原位样品杆/台链接扫一扫,关注【材料说】微信公众号,跟踪材料表征新动向
  • 飞纳电镜落户华北科技学院
    华北科技学院金相实验室近期采购的飞纳电镜能谱一体机 Phenom ProX 顺利通过安装验收。华北科技学院金相实验室面向机械类和近机械类专业学生开放,开放性实验项目主要有金相试样的制备、金相显微组织的识别等。主要研究、分析金属材料内部组织结构、检验原始材料组织和大致含碳量、测量表面镀络层和渗碳层及其它表面渗层的厚度,目的是加强学生的动手能力和鉴别能力,实现理论和实践相结合,使学生走上工作岗位后具有初步金相分析研究能力。飞纳电镜用户——华北科技学院金相实验室观察各种合金材料中夹杂物相及其拉伸断裂断口形态,飞纳电镜能谱一体机 Phenom ProX 配备的背散射电子和二次电子探头可满足该用户所有待观测样品的测试需求。适用于科研领域、制造领域,可进行非金属夹杂物、低倍组织、晶粒度、断口检验、镀层厚度、硬化层深度、脱碳层、灰口铸铁金相、球墨铸铁金相、PCB 金相切片分析、焊接件宏观腐蚀观察等工作。飞纳电镜操作简易,非常适合没有扫描电镜操作经验的用户。自动马达样品台配合光学导航,仅需 15s 的抽真空时间,可以方便快速地检测样品。能谱 EDS 特有的反卷积拟合功能使得定性和定量更加准确可信。飞纳电镜 Phenom ProX 一定能够充分发挥公共研发平台的作用,促进和提高相关技术创新,更好地为周边高校及科研院所提供服务。
  • 飞纳电镜在功能材料中的应用实例
    广东工业大学主要研究功能材料(主要为过渡金属氧化物纳米材料)的合成和制备,并研究它们在环境催化、废水处理以及能源领域中的应用。功能纳米材料的尺寸和形貌是影响催化性能的关键,因此利用扫描电镜观察功能材料形貌和尺寸是必不可少的。如何简单快速地得到样品形貌信息,对功能材料的研发具有十分重要的意义。台式电镜具有以下优点: 1. 占地空间小,不需要配备太多额外设备,对放置楼层也无太多要求2. 操作简单,也无需专用操作人员,学生也可快速上手操作3. 速度快,15秒抽真空,30秒成像,短时间可以完成大量样品测试 经过培训后,广东工业大学的学生可以独立完成飞纳台式扫描电镜测试,对合成的功能材料进行形貌和成分分析。通过选择合适的加速电压和束流条件后,将样品放大到适当倍数,聚焦,调节亮度和对比度,即可快速得到一幅材料形貌图。打开能谱软件,在样品感兴趣的位置选点、线、面后,软件会自动对选择的区域进行元素分析。 下图为用户测试样品的形貌和能谱线扫结果:测试的样品形貌利用能谱线扫功能对样品进行元素分析用户认真学习电镜操作电镜和能谱软件操作简单快速,电镜成像快速,能谱点哪里分析哪里,快速得到分析结果,可以完成大量样品筛查,大大提高实验效率。
  • 8500万元!赛默飞独揽6套电镜 海南大学皮米电镜中心单一来源采购大单揭晓
    海南省政府采购网5月7日发布《海南大学-皮米电镜中心及先进科研基础设施平台-单一来源公示》,海南大学将以单一来源采购方式从美国赛默飞公司、美国EDAX公司、美国Fischione公司、美国AMETEK集团下属子公司CAMECA公司、日本株式会社理学进行仪器采购,总预算金额8499.8万元。采用单一来源采购方式的原因为:符合《海南省财政厅关于加强单一来源采购管理的通知》琼财采规〔2022〕1 号 第一条 (一)只能从唯一供应商处采购的情形,所以须采用单一来源方式进行采购。公示期限为2022年5月9日至2022年5月13日。其中:包一单一来源采购仪器生产商均为美国赛默飞公司,具体采购仪器种类及型号为Spectra Ultra透射电子显微镜、Spectra 300 双球差矫正透射电子显微镜、Spectra 300 单球差矫正透射电子显微镜、Helios 5 PFIB UXe双束电镜、Quattro S扫描电镜和Axia Chemi扫描电镜。包一采购仪器及单一来源采购理由仪器厂商仪器类型及型号单一来源采购理由美国赛默飞公司Spectra Ultra透射电子显微镜拟采购的电子显微镜是一种可以在不同电压下对样品进行原子尺度能谱分析的透射电子显微镜。该设备配备的完全对称性的能谱探头,可以完全校正不同倾转角造成的能谱数据波动,配合专用的样品杆与全新的分析软件,是目前能够获得最佳空间分辨率能谱定量数据的透射电子显微镜。该设备的原子能谱成像技术为独有技术。目前,国内外仅有美国赛默飞公司生产的Spectra Ultra透射电子显微镜可实现上述功能,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。Spectra 300 双球差矫正透射电子显微镜拟采购的电子显微镜是一种用于复杂氧化物等功能器件、合金材料、纳米材料的结构和缺陷分析的双球差矫正透射电子显微镜,可实现材料的电子全息原子成像和负球差原子成像,是目前世界上拥有扫描透射模式下最高分辨率(300kV@50pm)和透射模式下超高分辨率(300kV@70pm)的原子成像设备。该设备的聚光镜和物镜球差校正技术为独有技术。目前,国内外仅有美国赛默飞公司生产的Spectra 300 双球差矫正透射电子显微镜可满足上述功能,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。Spectra 300 单球差矫正透射电子显微镜拟采购的电子显微镜是一种可实现锂电池、分子筛、金属-有机框架等电子束敏感材料在低剂量下获得高质量STEM图像的透射电子显微镜。该设备配备了最新专利技术的16分割STEM探头,不仅可以直接测量样品内势场造成的电子束偏移从而实时获得直接反映样品内势场的DPC图像,而且可以通过分割探头的电子密度的二维积分获得直接反映所有轻重原子衬度的iDPC图像。该设备的16分割STEM探头技术为独有技术。目前,国内外仅有美国赛默飞公司生产的Spectra 300 单球差矫正透射电子显微镜可满足上述功能,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。Helios 5 PFIB UXe双束电镜拟采购的电子显微镜是一种可用于电子束敏感材料的低电压成像和低电压离子束精确加工的聚焦离子束-电子束双束显微镜。该设备拥有全套完善的自动化透射样品制备方案和全自动360度可旋转纳米机械手,是目前唯一可以全部自动化实现样品挖坑、自动纳米机械手提取、自动减薄、自动低电压去非晶层等全流程透射样品制备,在同类产品中拥有最高的透射样品制备效率。目前,国内外仅有美国赛默飞公司生产的Helios 5 PFIB UXe双束电镜可实现上述功能,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。Quattro S扫描电镜拟采购的电子显微镜是一种用于金属材料、纳米颗粒、半导体、生物材料等样品的表面形貌、晶体取向和成分分析的扫描电子显微镜。该设备能够在多种真空模式下运行,并实现软件控制真空自由切换。对于非导电或生物材料类样品,无需进行喷镀导电层前处理,可直接对真实样品表面进行成像和分析。在环境扫描模式(可达4000Pa)下,该设备的二次电子分辨率可达1.3 nm@30 kV,是目前唯一可达到该参数指标的扫描电镜。目前,国内外仅有美国赛默飞公司生产的Quattro S扫描电镜可实现上述功能和参数,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。Axia Chemi扫描电镜拟采购的电子显微镜是一种用于对样品进行初步筛查和分析检测的扫描电子显微镜。该设备可以同时并且高效地完成扫描电镜图像与能谱图像的采集工作。该设备集成独特的实时定量能谱面分析功能,采集数据所用的是同一扫描发生器,将扫描电镜图像与能谱图像完美对中,是世界上唯一可以同时完成电子信号成像和特征X射线能谱分析的设备。目前,国内外仅有美国赛默飞公司生产的Axia Chemi扫描电镜可实现上述功能,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。包二单一来源采购仪器生产商均为美国EDAX公司,具体采购仪器种类及型号为Velocity Super电子背散射衍射仪、Elite Super能谱仪、Orion Plus电子背散射衍射仪、Elect Super能谱仪。包二采购仪器及单一来源采购理由仪器厂商仪器类型及型号单一来源采购理由美国EDAX公司Velocity Super电子背散射衍射仪拟采购的电子背散射衍射仪是一款高灵敏度、低噪音的CMOS相机电子背散射衍射(EBSD)探测器,兼容性较好,同时提供批处理自动采集及自动化分析软件,大幅提高采集效率,从而实现快速3D-EBSD显微表征。该设备具有业界最高采集速度4500点/秒,突破了传统EBSD采集速度慢的问题。目前,国内外仅有美国EDAX公司生产的Velocity Super电子背散射衍射仪可实现上述功能和参数,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。Elite Super能谱仪拟采购的X射线能谱仪是一款具备高灵敏度、高效率、低吸收X射线(尤其是低能端)能力的X射线能谱仪(EDS)。该设备采用最新氮化硅超薄保护窗(100nm),并采用蜂窝支架取代密集金属格珊,大幅提供X射线通过率,特别是低能量段检出度提高39%,独有CMOS 电子技术大幅提高数据处理能力,最大输入计数率2,000kcps,最大输出计数率850kcps,对3D-EDS分析提供批处理测试能力,能够快速有效地实现3D-EDS分析功能。目前,国内外仅有美国EDAX公司生产的Elite Super能谱仪可实现上述功能和参数,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。Orion Plus电子背散射衍射仪拟采购的电子背散射衍射仪是一款能够承受高温(~1000℃)且保持较高扫描速度的EBSD探测器。该设备最高采集速度1500点/秒,是目前业界唯一可在1000℃以内加热和拉伸条件下进行原位EBSD分析的设备。目前,国内外仅有美国EDAX公司生产的Orion Plus电子背散射衍射仪可实现上述功能和参数,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。Elect Super能谱仪拟采购的X射线能谱仪是一款能够承受高温(~1000℃)且对X射线(尤其是低能端)吸收较少的X射线能谱仪。该设备采用最新氮化硅超薄保护窗(100nm),由于氮化硅材料强度高不易破损、耐高温、防酸碱和防水,消除了传统能谱仪的破窗风险,是业界唯一可实现原位高温拉伸实验表征的能谱仪。目前,国内外仅有美国EDAX公司生产的Elect Super能谱仪可实现上述功能和参数,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。包三进行单一来源采购的仪器为美国Fischione公司生产的1040 NanoMill 微束定点离子减薄仪,拟采购的微束定点离子减薄仪是一种可有效去除透射电镜样品表面的非晶层、氧化层、离子注入层等表面污染和损伤,以提高后续透射电镜成像质量的离子减薄仪。单一来源采购理由为:该设备是当前业界唯一可实现能量低至50ev和束斑尺寸≤1μm离子束的离子减薄仪,结合二次电子探头精确定位制备样品,该设备可获得高质量的透射电镜样品。目前,国内外仅有美国Fischione公司生产的1040 NanoMill 微束定点离子减薄仪可实现上述功能和参数,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。包四进行单一来源采购的仪器为美国AMETEK集团下属子公司CAMECA公司生产的LEAP 5000 XS 型三维原子探针,拟采购的三维原子探针是一种兼具高空间分辨率和低检测极限的成分分析仪器,以近原子尺度的空间分辨率和ppm级的检测限成为材料微观成分分析领域极为重要的研究手段。单一来源采购理由为:该设备采用局部电极原子探针断层分析技术,是目前具有最高3D空间分辨率的材料成分分析技术,以近原子尺度的分辨率给出材料内部的三维成分信息。可对各种单质或化合物材料的样品表面、界面等复杂结构进行不同元素的原子级别分析,重构出纳米空间内不同元素的三维分布图像,并给出精准的元素空间含量分析。目前,国内外仅有美国AMETEK集团下属子公司CAMECA公司生产的LEAP 5000 XS 型三维原子探针可实现上述功能,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。包五进行单一来源采购的仪器为日本株式会社理学生产的9KW转靶(Smartlab)原位X射线衍射仪,拟采购的 X-射线衍射仪是一种能够实现含量低于0.05%的物相检测和1500℃环境加热下原位表征的X-射线衍射仪。单一来源采购理由为:该设备具备高通量光源,转靶衍射仪最大输出功率达到9kW,管电压45KV,管电流达到200mA,并配置室温~1500℃环境加热的原位反应台,是目前唯一能够同时实现低含量物相检测和高温原位X-射线衍射表征的设备。目前,国内外仅有日本株式会社理学生产的9KW转靶(Smartlab)原位X射线衍射仪可实现上述功能,且在功能的客观定位上必须使用指定的专利、专有技术且使用的专利、专有技术具有不可替代性及独占性。参与本次单一来源采购论证的专家为:复旦大学教授车仁超、西安交通大学教授孙军、浙江大学教授田鹤、湖南大学教授徐先东、湖南大学助理教授谢盼。专家论证意见如下:包一:包二:包三:包四:包五:
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制