当前位置: 仪器信息网 > 行业主题 > >

半导体加热器

仪器信息网半导体加热器专题为您提供2024年最新半导体加热器价格报价、厂家品牌的相关信息, 包括半导体加热器参数、型号等,不管是国产,还是进口品牌的半导体加热器您都可以在这里找到。 除此之外,仪器信息网还免费为您整合半导体加热器相关的耗材配件、试剂标物,还有半导体加热器相关的最新资讯、资料,以及半导体加热器相关的解决方案。

半导体加热器相关的资讯

  • 某日企投资100亿日元,实现面向半导体设备的零部件生产力翻倍
    “日本发条株式会社”(NHK SPG)将在2023年之前,提高面向半导体制造设备的零部件生产能力。除了投入约100亿日元来增产长野县的工厂外,还计划建设新的车间。2026年度该零件的销售额将达到300亿日元规模,是现在的3倍。目前半导体供求紧张,汽车行业也出现半导体供应不足的情况。半导体制造设备的世界市场预计将更加活跃,“日本发条”为该部件需求的提高做好准备。目前,由于零部件供应不足,有的半导体设备交货期达到了1年半以上。“日本发条”将在宫田工厂(长野县宫田村)进行投资,工厂内还将建设新的生产车间。该工厂生产的是被称为“成膜设备用多源控制工作台加热器”和“蚀刻设备用熔射冷却板”的部件。“日本发条”在2019年受到半导体需求扩大的影响,投资了约80亿日元,新建了该工厂。受需求急剧高涨的影响,该工厂现在已经处于全负荷运转状态。该公司2020年度面向半导体制造设备的零部件业务销售额约为100亿日元。今后5年内会将这个数字提高到300亿日元左右。“日本发条”刚刚制定了以2023年为目标的3年中期经营计划,但是该计划的销售额目标有可能在21年内就能被超过。半导体制造设备市场如此旺盛。据SEMI 7月公布的半导体制造设备的世界销售额预测,2021年将比2020年增加34%,达到953亿美元,2022年将突破1000亿美元,刷新历史最高记录。同时,设备制造商的增产投资也正在相继开始。
  • 第三代半导体13项标准获得新进展!
    近日,第三代半导体产业技术创新战略联盟(CASA)官方微信消息,其标准化委员会(CASAS)公布了13项标准新进展,包括2项GaN HEMT动态导通电阻测试标准形成委员会草案、2项SiC单晶生长用等静压石墨标准征求意见、9项SiC MOSFET技术标准已完成征求意见稿的编制。01 2项GaN HEMT动态导通电阻测试标准形成委员会草案2024年7月25日,由浙江大学、浙江大学杭州国际科创中心牵头起草的团体标准T/CASAS 34—202X《用于零电压软开通电路的氮化镓高电子迁移率晶体管动态导通电阻测试方法》、T/CASAS 35—202X《用于第三象限续流的氮化镓高电子迁移率晶体管动态导通电阻测试方法》已形成委员会草案,两项标准委员会草案按照CASAS标准制定程序,反复斟酌、修改、编制而成。起草组召开了多次正式或非正式的专题研讨会,得到了很多CASAS正式成员的支持。委员会草案已经由秘书处邮件发送至联盟常务理事及理事单位。T/CASAS 34—202X《用于零电压软开通电路的氮化镓高电子迁移率晶体管动态导通电阻测试方法》描述了用于零电压软开通电路的氮化镓高电子迁移率晶体管(GaN HEMT)动态导通电阻测试方法。适用于进行GaN HEMT的生产研发、特性表征、量产测试、可靠性评估及应用评估等工作场景。可应用于以下器件:a)GaN增强型和耗尽型分立电力电子器件;b)GaN集成功率电路;c)以上的晶圆级及封装级产品。T/CASAS 35—202X《用于第三象限续流的氮化镓高电子迁移率晶体管动态导通电阻测试方法》描述了用于第三象限续流模式(包括硬关断和零电流关断)的氮化镓高电子迁移率晶体管(GaN HEMT)电力电子动态导通电阻测试方法。适用于进行GaN HEMT的生产研发、特性表征、量产测试、可靠性评估及应用评估等工作场景。可应用于以下器件:a)GaN增强型分立电力电子器件;b)GaN集成功率电路;c)以上的晶圆级及封装级产品。02 2项SiC单晶生长用等静压石墨标准征求意见由赛迈科先进材料股份有限公司牵头起草的标准T/CASAS 036—202X《碳化硅单晶生长用等静压石墨构件纯度测定辉光放电质谱法》、T/CASAS 048—202X《碳化硅单晶生长用等静压石墨》已完成征求意见稿的编制,该项标准征求意见稿按照CASAS标准制定程序,反复斟酌、修改、编制而成,起草组召开了多次正式或非正式的专题研讨会。根据联盟标准化工作管理办法,2024年7月25日起开始征求意见,截止日期2024年8月24日。征求意见稿已经由秘书处邮件发送至联盟成员单位;非联盟成员单位如有需要,可发邮件至:casas@casa-china.cn。T/CASAS 036—202X《碳化硅单晶生长用等静压石墨构件纯度测定辉光放电质谱法》规定了采用辉光放电质谱法测定等静压石墨构件纯度的方法,包括术语和定义、试验原理、试验环境、仪器设备、试剂与材料、试样、试验步骤、试验结果及试验报告。本文件适用于单个杂质元素含量范围为0.01mg/kg~5mg/kg的碳化硅单晶生长用等静压石墨构件纯度的测定,所述构件包括碳化硅单晶生长炉中的加热器、坩埚、籽晶托等内部构件。碳化硅粉体合成用加热器、坩埚等石墨热场部件,以及碳化硅外延生长用石墨基材的纯度测定可参考本文件。T/CASAS 048—202X《碳化硅单晶生长用等静压石墨》描述了碳化硅单晶生长用等静压石墨的技术要求、试验方法、检验规则、标识、包装、运输和贮存等。本文件适用于纯度要求达到5N5(质量分数99.9995%)以上的碳化硅单晶生长用或碳化硅粉体合成用等静压石墨,包括碳化硅单晶生长用加热器、坩埚、籽晶托等内部构件,以及碳化硅粉体合成用加热器、坩埚等石墨热场部件。03 SiC MOSFET 阈值电压等9项技术标准形成征求意见稿7月19日,T/CASAS 021—202X《SiC MOSFET阈值电压测试方法》等9项SiC MOSFET技术标准已完成征求意见稿的编制。其中,T/CASAS 033—20XX《SiC MOSFET功率器件开关动态测试方法》由闻泰科技股份有限公司、重庆大学、工业和信息化部电子第五研究所、浙江大学、泰克科技(中国)有限公司等联合提出。T/CASAS 033—20XX《SiC MOSFET功率器件开关动态测试方法》针对SiC MOSFET器件的开关动态特性,基于当前SiC半导体行业的市场需求,对SiC MOSFET器件进行特定测试电路下的脉冲测试,提案规定了测试所需要包含的设置条件、测试工具、测试项目以及计量方法等,包括:1、总则、规范性引用文件;2、术语、定义、文字符号;3、电路构成和测试要求;4、操作方法和测试流程;5、计量方法和评价方案;6、其他安全注意事项等技术性内容。
  • ​先锋精科冲击科创板IPO:深耕半导体设备零部件领域 抢占行业高难度领域市场份额
    科创板IPO即将迎来“科八条”发布后的第二家上会企业。8月9日,上交所上市委审议公告显示,江苏先锋精密科技股份有限公司(下称“先锋精科”)将于8月16日在科创板上会审核。招股书显示,先锋精科聚焦半导体设备核心零部件,是国内半导体设备精密零部件行业有影响力的企业之一,也是国内半导体刻蚀和薄膜沉积设备细分领域关键零部件的精密制造专家。公司自设立起即坚持面向经济主战场、面向国家重大需求、优先服务国内本土半导体设备企业的战略方针,深耕半导体设备精密零部件“卡脖子”领域。公司是全球为数不多的已量产供应7nm及以下先进制程国产刻蚀设备关键零部件的供应商,直接与国际厂商竞争,2023年度,公司可应用于7nm及以下先进制程的产品收入占比达16.80%。在国产CCP高容性高能等离子体刻蚀领域,公司批量生产的腔体已规模化应用在国际最先进的7nm及以下芯片刻蚀设备;在国产主流等离子LED芯片刻蚀领域,公司是该类设备反应腔室套件的核心供应商;在氮化镓基LED MOCVD领域及12寸PECVD领域,公司是该类设备关键工艺部件——匀气盘的核心供应商。从全球范围看,半导体设备内使用的高端器件市场主要为国外厂商所垄断,其中加热器是半导体设备零部件中技术难度较高、工艺制造较为复杂且具备完整功能的高端器件之一。经过多年自主研发,先锋精科已是国内少数已实现量产晶圆加热器。为持续推动半导体产业发展,增强产业创新能力和国际竞争力,我国近年来推出了一系列鼓励和支持半导体产业发展的政策,如《新时期促进集成电路产业和软件产业高质量发展的若干政策》(2020 年 8 月颁布)等,明确支持国内半导体设备领域企业发展,给国内相关领域的优质企业提供了难得的发展机遇。强大的产品能力优势叠加政策的利好,先锋精科表示,将继续坚持面向经济主战场、面向国家重大需求的战略方向,聚焦服务民族半导体设备企业,持续陪伴及助力中国半导体设备发展壮大,与客户共同迭代创新技术,努力保障我国半导体供应链安全。
  • 勤卓科技发布勤卓半导体恒温试验箱洁净恒温箱洁净烘箱HK-138EC新品
    半导体高温老化试验箱 半导体高温老化试验箱 恒温箱又名鼓风干燥箱是航空、汽车、家电、科研等领域必备的测试设备,用于测试和确定电工、电子及其他产品及材料进行高温试验的温度环境变化后的参数及性能。产品名称:高温箱 容积138L型号:HK-138EC温控范围:室温+10~290℃; 内箱尺寸:550*500*500mm(W*H*D)外箱尺寸:1020*710*675mm(W*H*D)控制精度:±1℃温 控 器:LED 数显、智能温控器;箱体材质:内箱不锈钢板;加热部件:底部加热,两组加热器件分别控制。热 电 偶:PT100 铂电阻 ;定时功能:0~999 分钟;0~999小时热风循环:空气经风机从底部抽进风道,在左侧边吹出;标配层架:1块网架。其它可加保护装置:超温保护系统 温老化箱/台式高温箱/电子老化高温箱1.采用微电脑PID控制,具有PID自整定功能,确保设备在任何工作状态下都能达到zui佳控温精度;2.方便的温度补偿功能,较好地避免了显示温度值与实际温度值的误差;3.独特的立式拼装设计,使箱体结构更合理,占地面积小,有效利用空间;4.配备玻璃内门或观察窗,方便观察试品的试验情况;5.产品具有测量温度达到设定温度的定时恒温功能。创新点:优质钢板,造型美观,新颖 勤卓半导体恒温试验箱洁净恒温箱洁净烘箱HK-138EC
  • 新美光“衬底加热体组件及化学气相沉积设备”专利公布
    天眼查显示,新美光(苏州)半导体科技有限公司“衬底加热体组件及化学气相沉积设备”专利公布,申请公布日为2024年7月23日,申请公布号为CN118374794A。背景技术以常见的碳化硅部件-等离子刻蚀环为例,目前较为普遍的等离子刻蚀环生长、加工工艺为:将环状石墨衬底以多片层叠方式安装在化学气相沉积炉内,采用石墨电极进行电阻加热将炉内加热至碳化硅材料生长温度,持续向炉内通入前驱体和载气,前驱体在高温下热解反应出碳/硅原子并在石墨衬底上反应沉积得到碳化硅材料,最终通过微纳加工获得满足使用要求的等离子体刻蚀环。整个工艺过程中,因衬底表面为直接发生碳/硅原子反应沉积的位置,最终决定材料的均一性和碳化硅晶体品质,衬底沉积表面流场均匀性及温度均匀性比较关键。但在上述工艺中存在以下客观缺点:1、石墨衬底置于碳化硅生长炉内加热时,因衬底径向外侧在空间上更加接近石墨加热器,故客观的会接收更多的热辐射而导致衬底径向外侧的温度高于内侧;同样的,石墨衬底的外侧因离进气口比较近,前驱体热解出的碳/硅原子基团会持续优先在衬底外侧的表面沉积,最终会影响碳化硅材料在石墨衬底径向上生长的均匀性;石墨衬底的外侧表面因为较内侧有更高的温度和更高浓度的碳/硅原子基团,石墨衬底的外侧碳化硅沉积材料一般厚度较大且表面平整性差,对于碳化硅零部件后续的加工也造成了时间的浪费和成本的提高。2、石墨衬底常用的固定方式为采用石墨顶针在衬底底面进行支撑,该方案因石墨顶针会直接接触到衬底表面,故被石墨顶针接触到的衬底表面区域碳化硅材料生长过程必然会受到影响,相应区域的沉积材料无法加工成产品使用,故在加工过程中一般采取将受影响区域进行切割废弃的措施,增加了加工时间和成本。3、层叠多片式的碳化硅部件材料生长设备,腔体内部的流场无法实现完全的均匀性,主要表现在离进气口近、排气口远的材料生长速率高,反之生长速率低,最终导致同一批次的材料厚度均一性差。发明内容本发明涉及一种衬底加热体组件及化学气相沉积设备,其中提供了一种衬底加热体组件,包括衬底和加热体,衬底包括第一表面、第二表面和中空区,第一表面和第二表面均用于供反应气体沉积,中空区位于第一表面和第二表面之间;加热体位于中空区内,用于产生热量以至少加热第一表面和第二表面。本发明的衬底设计为内部中空的结构,第一表面及第二表面均为衬底靠近中空区部分的外表面,位于中空区的加热体对衬底的第一表面和第二表面沿径向的各个位置的加热效果相对较为平均,不容易产生沉积的产品厚度差异过大的问题,一定程度上提高了衬底上沉积材料的厚度均一性及组织性能均匀性。
  • 闲聊半导体行业和芯片人的”黑话“(中)
    上篇聊的偏粗线条,这篇会聊一些更细节的半导体“黑话”,还有就是这些半导体独立王国的“语言”和我们“正常人”说的话到底有什么关联,毕竟半导体行业不是凭空出世的,芯片人更不是“空降兵”,这个细分领域的技术和应用是有其根基和土壤的; “正常”电镜人里做冷场发射电镜CFESEM的,特别是做氦离子显微镜HIM的,都熟悉“Anneal”这个术语,指的是通过瞬间加热灯尖驱逐吸附的气体分子,增强钨单晶的活性以便于灯尖切削,俗称“重生”;半导体对“Anneal”的”黑话“称呼差别比较大叫回火,又称退火,也是对wafer进行热处理的一种手段;更广义地讲,集成电路IC工艺中所有的在氮气等不活泼气氛中进行的热处理的过程,都可以称为退火;”退火“与“重生”异曲同工,目的一是激活杂质,使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载流子,起到掺入杂质Doping的作用;二是消除损伤,离子植入Ion Implatation后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁区;由于进入底材Substrate中的离子行进中将硅原子撞离原来的晶格位置,而导致的晶体的特性改变就叫损毁;而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、时间差异来控制全部或局部的活化植入离子的功能。氧化制程中也有回火工序,主要是为了降低SiO2的晶格结构。退火方式有传统的炉Furnace退火,还有更先进的快速退火:包括脉冲激光法、连续波激光、非相干宽带频光源;如卤光灯、电弧灯、石墨加热器、红外设备等;“Furnace”在透射电镜TEM样品加热杆Heating holder上很常见,就是“坩埚”。 这里提到的离子植入Ion Implantation对熟悉FIB的“正常人“并不陌生,传统聚焦离子束利用Ga+离子束进行切割Milling、截面Sectioning、或是在气体注入系统GIS的配合下做刻蚀Etching,离子束在不同的气体配合下,可以做到选择性刻蚀Selective Etching,或增强型刻蚀Enhanced Etching;在FIB中,掺杂物Dopant对样品的掺杂Doping,我们是不希望看到的,注入到样品里的Ga+会被视为对样品的污染Contamination;而晶圆制造中的离子植入,是在原本本征的半导体里主动的植入或通过扩散的方法将其它的原子或离子掺杂进去,达到改变其电性能的方法,这里,我们是希望看到样品wafer性能发生改变的; 甚至,当一般植入的离子分布达不到要求时,还会加码,通过进炉管Furnace加高温的方式将离子进行扩散Diffusion,以达到我们对离子分布的要求,同时对离子植入造成的缺陷进行修复;这里涉及到的设备叫扩散炉Diffusion furnace;此工序就是在扩散炉管内通以饱和的杂质蒸汽,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入扩散,快速完成固态扩散需要约数亿年才能完成的任务;Diffusion虽然能较精确地选择杂质Dopant的数量,但受限于离子能量,无法将杂质驱入芯片更深(um级别)的区域了;因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除;此方法就称为驱入Drive-In;“Drive-in“在这里就不是那种能直接开车进去看电影的露天电影院了;”Drive-In”工艺中,不再加入半导体杂质总量,只将表面的杂质往半导体内更深入的推进;在驱入时,常通入一些氧气﹒因为硅氧化时,会产生一些缺陷,如空洞Vacancy;这些缺陷会有助于杂质原子的扩散速度;电镜中用到的超高真空泵-离子泵Ion Getter Pump,有和上面说的半导体的“Drive-in”手段类似,通过将杂质气体分子驱入离子泵的钛合金板,逐步达到提升真空的功效;顺便一句,半导体制程中用到的”特种气体“,”黑话“叫”特气“。 还记得”正常“电镜上熟悉的用来做表层污染物去除的Plasma cleaner等离子清洗吗?电子枪和电子光学镜筒装配前会用来轰击清洁样品表面,避免超高真空下的发气outgassing,俗称”轰击台“;晶圆制程中的这类设备就叫Arc Chamber弧光反应室,和“轰击台”原理一样,事实上设备就是利用一个直流式的电浆发生器,操作电流产生的弧光电浆来清洁抛光晶圆表面;这里的电浆就是又一句半导体“黑话”,正常人一般叫它Plasma,或等离子体;电浆Plasma是人类近代物化史上重大的发现之一,指的是一个遭受部分离子化的气体,气体里面的组成有各种带电荷的电子,离子,及不带电的分子和原子团等;电浆发生器的两金属极板上加上直流电压而产生的电浆我们就叫它直流电浆DC Plasma了; 提到电浆,就顺便说下晶圆的镀膜Deposition环节;相比较使用化学蒸气沉积CVD Chemical Vapor Deposition方法的镀膜,使用物理蒸气沉积法PVD的镀膜工艺在高制程中更常被用到;PVD制程中,脱离电浆的带正电荷离子,在暗区的电场的加速下,获得高能量,当离子与阴电极产生轰击之后,基于能量传递的原理,离子轰击除了会产生二次电子以外,还会把电极表面的原子给"打击"出来,称为sputtering溅射;电极板加直流电压称为DC Sputtering直流溅射;阴极导电材料,因为像“靶子“被电浆打击,被称为靶材Target;说到这里,我嘘了一口气;终于,半导体人也不是必须只讲自己人的”黑话“,他们跟”正常人“一样,还是能讲些”人话“的;需要溅射镀膜比较细腻的可以用磁控DC溅镀机:为了使离子在往金属靶表面移动时获得足够的能量,除了提高极板间的电压外,还必须使离子在阴极暗区内所遭受的碰撞次数降低,就必须降低溅渡的压力,越低越好,以增长离子的平均自由行程;这样一来,单位体积内的气体分子数降低,使得电浆里的离子浓度也降低,导致溅渡薄膜的沉积速率变慢,整体镀膜更加细腻;“正常”电镜实验室中,为了增加样品导电性,我们会去给样品镀一层金膜,这里的“镀金仪”就是缩小版的Fab里的PVD设备了;除了“镀金”,我们还经常会去“镀碳”;碳膜比起金膜来,可以增强样品表层导电性,但不会影响到“打能谱”,标定样品的化学元素信息;所以生物样品常用镀金,材料样品更愿意镀碳,就是这个道理; 我们利用Sputtering溅射技术镀金,镀碳的技术则为Evaporation蒸镀;Fab里的采用蒸镀技术的PVD设备,就是将蒸镀源放在坩埚Furnace里加热,当温度升高到接近蒸镀源的熔点附近时,原本处于固态的蒸镀源的蒸发能力将会特别强,利用这些被蒸发出来的原子,就可在附着在离其不远处的芯片Wafer表面上,形成薄膜式的沉积层,这种方法就是蒸镀Evaporation了;随着用以隔离之用的场氧化层FOX,CMOS电晶体,金属层及介电层等构成IC的各个结构在Chip芯片上建立之后,芯片的表面也将随之变得上下凸凹不平,致使后续制程变得更加困难;所以,传统半导体制程就开始执行芯片表面平坦化的技术了;以介电层SiO2的平坦化为例,有高温热流法、各种回蚀技术及旋涂式玻璃法;当 超大规模集成电路 VeryLarge Scale Integration- VLSI的制程推进到0.35um以下后,上面这些技术就不能满足制程需求了,故而也就产生了Chemically Mechanical Polishing化学机械研磨法CMP制程;所谓CMP就是利用在表面布满研磨颗粒的研磨垫Polishing pad,对凸凹不平的晶体表面,藉由化学助剂Reagent的辅助,以化学反应和机械式研磨等双重的加工动作,来进行其表面平坦化的处理;看到这里,您不难看出,这个半导体的CMP不就是我们“正常”实验室人经常操作的电化学抛光Electro-ChemicalPolishing制样流程吗?怎么什么进了半导体应用的门槛就非得改名,开说“黑话“呢?由于半导体芯片行业薪酬普遍高出“正常“行业一头,导致在这个行业里的从业人沾沾自喜;笔者接触过一位有幸掌握了CMP操作技术的年轻人,”下界“来做电镜售后,满脑子都是半导体厂里的条条框框,动辄fingerpointing这个那个流程不够详细规范,无法操作,责任不明等等,俨然就是一位被半导体惯坏Spoiled的巨婴,”下凡“之后连正常思考都不会了;这里例子让我知道了即使在光鲜的半导体行业里,也会培养出只知规程,而实际却”四体不勤,五谷不分“的庸才。通篇看到这里,要是您要还没说“服了You”的话,那下面我们再接着多看多学一堆半导体的”黑话“吧;一片大硅片、OR晶圆,即Wafer上有许多相同的方形小单位,这些小单位叫Die,大家在这里肯定都理解这不是那个常用动词了吧?不过学材料的同学,你们知道它“黑话“叫“晶粒“吗?这回不淡定了吧;同一片上的每个Die晶粒都是相同的构造,具有相同的功能,每个晶粒经切割封装后,就制成了一颗颗我们日常生活中常用的IC芯片了,所以每一个大晶圆片所能造出的小IC数量是很可观的;同样地,如果因制造的疏忽而产生的缺陷,往住波及到同一批次Lot里成百成千个产品;说到这里,各位看官也明白为什么晶圆厂都喜欢300mm,就是12英寸的大硅片了吧;没错,一次出货出的多,省耗材省时间,人人喜大普奔;这里再来个插科打诨,Die的复数这里“黑话“叫Dice;没错,就是我们耍的”骰子“一个词;让我们期盼这来之不易的晶圆片不会轻易地godie,好不容易划片成功的裸Die不会变态地被当骰子耍吧;Fab里最贵的三类设备,也就是晶圆制造前道工艺中的三大设备了;除了上面提到的各类“D”类Deposition沉积镀膜设备,其余两大设备就是听得耳朵都长茧子的的光刻机Photolithography“L“类设备,和光刻后的刻蚀机Etching”E“类设备了;目前海外Fab使用最广泛的光刻机就是DUV深紫外光刻Deep-UV lithography,和ASML动辄卖上亿美元一台的EUV极紫外光刻机Extreme-UV lithography了;不知不觉中,ASML和EUV似乎成了光刻机的代名词;事实上,能够在硅基上“刻”出图案的技术有多种:X射线光刻 X-ray lithography、电子束光刻 Electron beamlithography EBL、氦离子束光刻 Helium ion beam lithography、等离子体飞秒激光光刻Femtosecond Plasma Lithography FPL、纳米压痕刻Nano Imprint Lithography NIL;这么多种类的光刻技术,光刻机的供应商自然不会只有ASML一家,ASML倒确实是唯一一家既可以做EUV光刻,也能做EBL光刻的光刻机“大户”;ASML是在收购了用13260只电子束EBL跟它PK光刻老大败北的Mapper后,同时获得这项技术的;上面有提到可以说是半导体芯片行业使用频率可以排名前三的“黑话”,叫Fabless,业务里不做Fab的就叫Fabless;我们现在聊到了光刻,曝光时需要拿一片事先印好图形玻璃模板叫Mask或Reticle,放在光源与物镜之间的这一类叫做光罩Mask光刻,DUV、EUV光刻就是这类了;同理,曝光过程中不用模板辅助显影,而是光源直接接触硅片的技术就叫无光罩Maskless光刻了,带电粒子束光刻,也就是电子束、离子束一类光刻就属于这类了;“黑话”的特性是除了“道上”的同行,别人都听不懂的;这里的Maskless就又是一个“活生生”半导体的“黑话“的例子;既然提到“曝光“exposure,我们就顺便聊下半导体”盗用“摄影师的这条行话吧;早期照相机底片的感光原理在集成电路的制造过程中也适用;借助”曝光“,可以将光罩,又叫光掩模,也就是Mask上的事先定义的图形Pattern,转移到晶圆片上,借助大数值孔径Numerical Aperture NA的物镜的帮助,形成了更为精细的光阻图形;所以如果”光刻“是晶圆制造中最关键的一步的话,”曝光“便是”光刻“中那个最重要的步骤;既然重要,这里我们就多聊两句”黑话“:以使用最广的5XStepper为例,其方式就是把对紫外线UV敏感的光阻膜PR当作照相机的底片,光罩上是芯片架构师用EDA设计的各种图形,以特殊波长的光线,比如G-Line 436nm照射穿过光罩,经过物镜,又叫缩小镜或Reduction Lens后,光罩上的图形则呈5倍缩小,精确地定义在”底片“,也就是芯片上的光阻膜,又叫光刻胶或Photoresist,再经过显影Development后,即可将照到光线的正光阻膜显掉,从而就得到了我们心心念念地想要的各种精细图形,准备好为下一步的刻蚀或离子植入制程使用;因为光阻膜对于某特定波长的光线特别敏感,所以Fab厂都有黄光区,将一切照明用光源统一过滤成昏黄色,以避免泛白光源中含有对光阻有感光能力的波长成份存在,否则会发生光线污染,扰乱精细的光阻成形。就像胶片时代的照相底片一样,光刻胶 photoresist,又称光阻剂,也有正负之分;半导体的负片叫负性光刻胶negative photoresist,正片叫正性光刻胶 positive photoresist,较高制程的Fab多会用到正胶,显影时正胶会被显没,留下底下需要被刻蚀掉的硅片区域;“光刻胶“叫起来比”光阻剂“更加顺口,也算是一句标准的下凡“黑话”吧;这个关于光刻胶的“黑话”群还包括:无机光刻胶 inorganic resist、多层光刻胶 multilevel resist、电子束光刻胶 electron beam resist、X射线光刻胶 X-ray resist等分类;还有甩胶 spinning、涂胶 coating、烘胶 baking、去胶stripping、氧化去胶 removing by oxidation、等离子体去胶 removing of by plasma,等等。曝光工艺里还时不时地会听到还分接触式曝光法contact exposure、接近式曝光法 proximity exposure、还有投影式曝光法 projection exposure的说法,这是不是让刚刚觉得自己懂了点晶圆制造的半导体小白,只瞅了一眼半导体的世界,一下子又跌回了“深渊“呢?半导体“黑话“这次就先聊止于此,下篇是Save the best to the last,最精彩的那一集大结局;敬请期待。
  • SiC器件需求将破百亿美元大关,半导体检测设备迎利好机遇
    大规模产能扩张将推动整个 WFE(晶圆厂设备)SiC制造设备市场于2026年达到50亿美元的峰值数十亿美元的功率SiC市场正在吸引包括器件、外延片和晶圆在内的各个层面的重大投资和产能扩张。设备制造商正在各个地区建设设施。“截至 2024 年,生产 SiC 晶圆的主要方法是 PVT(物理蒸汽传输),市场规模超过 20 亿美元,”Yole 的 Taha Ayari 说,“对晶圆生产至关重要的 SiC 粉末市场预计到 2029 年将超过 3600 万美元。PVT工具和粉末市场主要由参与者控制,以确保内部质量。预计从 2024 年到 2029 年,外延设备市场将产生 43 亿美元的累计收入,而同期 SiC 离子注入机市场预计将产生 49 亿美元的收入。扩散炉和热氧化机械等设备预计将在未来五年内产生 14 亿美元的收入。M&I(计量和检测)工具对于检测碳化硅晶圆/外延片和器件加工过程中的缺陷至关重要,预计从 2024 年到 2029 年的累计收入将达到 57 亿美元。有助于扩大 SiC 市场的其他工具包括老化测试、图案化、晶圆键合、薄化和 CMP 工具。工具制造商产能过剩但同时跟上SIC发展的步伐鉴于SiC器件收入预测的不断增长,市场参与者已经提前投资了大量资金。2023年,全球功率SiC业务的资本支出是SiC器件市场的两倍。预计资本支出将在2026年达到峰值,届时将有多家公司完成正在进行的产能建设。器件、外延片和晶圆级的投资和扩张正在推动价值数十亿美元的SiC功率市场的增长。而中国是功率SiC最活跃的国家之一。2023年,超过1/3的SiC晶圆和外延片市场被中国企业占领。这与中国的设备能力相符:截至2024年,多家中国PVT和HTCVD厂商活跃。随着价值链向设备的转移,中国的设备供应尚未自给自足。因此,中国设备厂商要想获得市场份额仍需要时间。 从6英寸到8英寸的过渡也是功率SiC投资的关键驱动力。 截至2024年,6英寸是领先厂商的主流SiC晶圆,由于基于6英寸的产能大幅扩张,预计这种情况在我们的预测期内将持续到2029年。Wolfspeed 是唯一在 8 英寸平台上部分制作的播放器。鉴于8英寸的研发,多家IDM和SiC晶圆厂商已经展示了8英寸样品。预计将于 2025 年开始首批出货。由于截至 2024 年开放 SiC 晶圆市场缺乏批量出货,因此 8 英寸 SiC 平台被认为具有战略性,在未来五年内主要是自有的。根据行业反馈,没有强调有关 8 英寸过渡的制造工具的担忧。安装的工具已经兼容 8 英寸。对于工具制造商,每一步都会有所不同。例如,SiC 离子注入机和退火工具供应商市场与已确定的领先参与者更加整合,而蚀刻工具供应商格局仍在不断发展,因为许多参与者试图占领更高的市场份额。SiC材料的固有特性要求特定的工具和设备加工与Si相比,WBG SiC材料为高压、高频功率器件提供了优越的性能,即带隙宽3倍、电子漂移速度高2倍、介电击穿高5-6倍。由于可以使用硅半导体设备和消耗品进行加工,因此可以生产功率 SiC 器件类型(SBD、平面和沟槽 MOSFET)。然而,不同的材料特性需要对设备进行调整/重新设计。对于WFE器件制造,关键参数是高温要求和强Si-C键,它们定义了每个步骤的各种工艺窗口。碳化硅外延工具需要高温,这具有挑战性且成本高昂。高通量和高运行重复性是必须的。水平式 HTCVD 拥有多个供应商、更容易维护且产量高,因此比垂直式更受青睐。在室温 (RT) 下,SiC 的离子注入会导致材料的高密度缺陷和非晶化。因此,SiC的离子注入通常在高温下进行(热注入,例如在400-1000℃的范围内),以动态消除离子产生的缺陷。同样,所有退火和热氧化步骤都在高温 (1200 C) 下进行。一般来说,高温要求要求特定的工具设计,例如工艺室的几何形状、材料和加热器类型,以保证良好的均匀性和产量。到 2024 年,大部分已安装晶圆产能将用于平面 SiC 器件生产(55%),其次是 SBD(28%)和沟槽 SiC MOSFET(17%)。展望2029年,我们预计SiC沟槽MOSFET份额将增至31%。
  • 半导体快速退火炉的原理和应用
    半导体快速退火炉(RTP)是一种特殊的加热设备,能够在短时间内将半导体材料迅速加热到高温,并通过快速冷却的方式使其达到非常高的温度梯度。快速退火炉在半导体材料制造中广泛应用,如CMOS器件后端制程、GaN薄膜制备、SiC材料晶体生长以及抛光后退火等。一、快速退火炉的原理半导体快速退火炉通过高功率的电热元件,如加热电阻来产生高温。在快速退火炉中,通常采用氢气或氮气作为气氛保护,以防止半导体材料表面氧化和污染。半导体材料在高温下快速退火后,会重新结晶和再结晶,从而使晶体缺陷减少,改善半导体的电学性能,提高设备的可靠性和使用寿命。1.1快速退火(RTA)与传统退火相比,快速退火具有更高的加热和冷却速度。通过快速加热和冷却,可以缩短退火时间,提高生产效率。1.2快速热处理(RTP)热处理是半导体制造中的一项关键技术,它可以改变材料的微观结构和性能。在热处理过程中,材料被加热到高温,然后进行保温和冷却。这个过程中,材料内部的原子会发生重新排列,从而改变材料的物理、化学和机械性质。二、半导体退火炉的应用领域1.封装工艺在封装工艺中,快速退火炉主要用于引线的切割和组装。引线经过切割和组装后,可能会产生内应力,影响封装的稳定性和可靠性。通过快速退火处理,可以消除引线内的应力,提高封装的稳定性和可靠性,保证产品的使用寿命。2.CMOS器件后端制程在CMOS器件后端制程中,快速退火炉可用于修复制程中产生的损伤和缺陷,增强器件的电学性能。通过快速退火处理,可以减少CMOS器件中的氧化物陷阱电荷和界面态密度,提高器件的可靠性和寿命。3.GaN薄膜制备GaN是一种重要的宽禁带半导体材料,具有优异的光电性能和稳定性。在GaN薄膜制备过程中,快速退火炉可用于提高薄膜的结晶质量和表面平滑度。通过快速退火处理,可以消除薄膜中的应力,减少缺陷,提高GaN薄膜的光电性能和稳定性。4.SiC材料晶体生长SiC是一种具有高热导率、高击穿电压、高饱和电子速度等优良特性的宽禁带半导体材料。在SiC材料晶体生长过程中,快速退火炉可用于提高晶体生长的质量和尺寸,减少缺陷和氧化。通过快速退火处理,可以消除晶体中的应力,提高SiC材料的晶体品质和性能。5.抛光后退火在半导体材料抛光后,表面会产生损伤和缺陷,影响设备的性能。快速退火炉可用于抛光后的迅速修复损伤和缺陷,使表面更加平滑,提高设备的性能。通过快速退火处理,可以减少表面粗糙度,消除应力,提高材料的电学性能和可靠性。
  • 围观半导体企业硅材料测试实验室都在用哪些仪器?
    硅材料是半导体行业应用最广泛的半导体材料,是集成电路晶圆制造的主要原料。集成电路材料产业技术创新联盟联合分析检测与技术合作服务平台是材料联盟牵头,由多家半导体领域高校、企业及实验室等共建单位积极参与建设的专业化服务平台,目前共发布320多台仪器,涉及硅材料、光刻材料、电子气体、工艺化学品、封装材料、抛光材料、溅射靶材等多个不同领域。仪器信息网特将其中硅材料测试仪器进行整理,看知名半导体企业及实验室都购置了哪些硅材料测试仪器。(所统计仪器,部分仪器可能存在并列或包含关系,未进行区分)硅材料测试用仪器共55台(套),其中电子天平、电感耦合等离子质谱仪数量最多。硅材料测试用仪器数量统计仪器台(套)数量电子天平4电感耦合等离子体质谱仪4微控数显电加热板2数字式硅晶体少子寿命测试仪2磷检区熔炉2激光粒子计数器2等离子聚焦离子束2紫外/可见分光光度计1原子力显微镜1研磨机1硝酸提纯仪1显微红外分析仪1微机控制万能(拉力)试验机1微波消解仪1透视式电子显微镜1透射电子显微镜1少子寿命分析仪1扫描电镜系统1三维光学轮廓仪1能量色散型X射线荧光分析仪1纳米粒度仪1两探针电阻率测试仪1离子色谱仪1离子色谱1扩展电阻测试仪1聚焦离子束1精密研磨机1精密切割机1金相显微镜1激光散射粒径分布分析仪1傅立叶变换红外光谱仪1非金属膜厚仪1飞行时间二次离子质谱仪1多功能颗粒计数仪1电感耦合等离子体发射光谱仪1电感耦合等离子发射光谱仪1低温傅立叶变换红外光谱仪1低温傅里叶变换红外光谱仪1导电型号测试仪1超纯水系统1半导体参数测试仪1α-粒子计数器1CNC视像测量系统(三次元)13D立体显微镜1仪器所属单位中,55台(套)仪器分别来自于9家半导体企业及实验室。仪器所属企业统计单位名称台(套)数量江苏鑫华半导体材料科技有限公司23工业和信息化部电子第五研究所(中国赛宝实验室)16上海新安纳电子科技有限公司5南京国盛电子有限公司3洛阳中硅高科技有限公司3无锡华润上华科技有限公司2沁阳国顺硅源光电气体有限公司1纳瑞科技(北京)有限公司1江阴江化微电子材料股份有限公司1以下为硅材料测试用仪器的具体信息:硅材料测试仪器及型号仪器型号所属单位飞行时间二次离子质谱仪TOF.SIMS 5工业和信息化部电子第五研究所(中国赛宝实验室)非金属膜厚仪3100江阴江化微电子材料股份有限公司金相显微镜DM8000、DM3 XL等工业和信息化部电子第五研究所(中国赛宝实验室)透视式电子显微镜Tecnai F20无锡华润上华科技有限公司透射电子显微镜FEI Tecnai G 2 F20、OXFORD 能谱工业和信息化部电子第五研究所(中国赛宝实验室)超纯水系统Milli-Q Advantage A10江苏鑫华半导体材料科技有限公司能量色散型X射线荧光分析仪EDX-720工业和信息化部电子第五研究所(中国赛宝实验室)聚焦离子束FEI DB835无锡华润上华科技有限公司纳米粒度仪NiComp 380 ZLS上海新安纳电子科技有限公司紫外/可见分光光度计UV-MINI 1240工业和信息化部电子第五研究所(中国赛宝实验室)精密研磨机UNIPOL-802江苏鑫华半导体材料科技有限公司精密切割机SYJ-150江苏鑫华半导体材料科技有限公司等离子聚焦离子束FEI-235/FEI-835/FEI-200/FEI-800纳瑞科技(北京)有限公司等离子聚焦离子束双束FIB Helios G4 CX工业和信息化部电子第五研究所(中国赛宝实验室)离子色谱仪ICS-900上海新安纳电子科技有限公司离子色谱882 Compact IC plus江苏鑫华半导体材料科技有限公司磷检区熔炉WJ-FZ30A江苏鑫华半导体材料科技有限公司磷检区熔炉FZ350/20江苏鑫华半导体材料科技有限公司硝酸提纯仪DST-4000江苏鑫华半导体材料科技有限公司研磨机metaserv250江苏鑫华半导体材料科技有限公司电感耦合等离子发射光谱仪VARIAN 710-ES上海新安纳电子科技有限公司电感耦合等离子体质谱仪iCAP RQ沁阳国顺硅源光电气体有限公司电感耦合等离子体质谱仪7700S南京国盛电子有限公司电感耦合等离子体质谱仪8900江苏鑫华半导体材料科技有限公司电感耦合等离子体质谱仪7700S江苏鑫华半导体材料科技有限公司电感耦合等离子体发射光谱仪5100工业和信息化部电子第五研究所(中国赛宝实验室)电子天平XPE504江苏鑫华半导体材料科技有限公司电子天平XPE105江苏鑫华半导体材料科技有限公司电子天平ME-204E江苏鑫华半导体材料科技有限公司电子天平JE1002江苏鑫华半导体材料科技有限公司激光粒子计数器KC-24江苏鑫华半导体材料科技有限公司激光粒子计数器HHPC-6+江苏鑫华半导体材料科技有限公司激光散射粒径分布分析仪LA-960上海新安纳电子科技有限公司显微红外分析仪NicoletIS50+Continuum工业和信息化部电子第五研究所(中国赛宝实验室)数字式硅晶体少子寿命测试仪LT-100C洛阳中硅高科技有限公司数字式硅晶体少子寿命测试仪LT-100C江苏鑫华半导体材料科技有限公司扫描电镜系统冷场发射扫描电子显微镜 Regulus8230 热场发射扫描电子显微镜 MIRA3 XMH 离子溅射镀膜仪 Q150TS 能谱仪 Octane Elect Plus 电子背散射衍射仪相机 Hikari Plus X射线能谱仪 Octane Elect Su工业和信息化部电子第五研究所(中国赛宝实验室)扩展电阻测试仪SSM2000南京国盛电子有限公司微波消解仪MARS6江苏鑫华半导体材料科技有限公司微机控制万能(拉力)试验机CMT5105、6502工业和信息化部电子第五研究所(中国赛宝实验室)微控数显电加热板HP51江苏鑫华半导体材料科技有限公司微控数显电加热板EG20B江苏鑫华半导体材料科技有限公司少子寿命分析仪FAaST210南京国盛电子有限公司导电型号测试仪STY-3江苏鑫华半导体材料科技有限公司多功能颗粒计数仪AccuSizer 780 APS上海新安纳电子科技有限公司原子力显微镜Dimension® Icon™ 工业和信息化部电子第五研究所(中国赛宝实验室)半导体参数测试仪B1500/B1500A/B15005A工业和信息化部电子第五研究所(中国赛宝实验室)傅立叶变换红外光谱仪Nicolet iS50洛阳中硅高科技有限公司低温傅里叶变换红外光谱仪CryoSAS江苏鑫华半导体材料科技有限公司低温傅立叶变换红外光谱仪CryoSAS洛阳中硅高科技有限公司两探针电阻率测试仪KDY-20江苏鑫华半导体材料科技有限公司三维光学轮廓仪VK-X250K工业和信息化部电子第五研究所(中国赛宝实验室)α-粒子计数器UltraLo-1800工业和信息化部电子第五研究所(中国赛宝实验室)CNC视像测量系统(三次元)O-INSPECT543工业和信息化部电子第五研究所(中国赛宝实验室)3D立体显微镜VHX-6000工业和信息化部电子第五研究所(中国赛宝实验室)推荐阅读:半导体行业湿电子化学品常用检测仪器及技术盘点
  • 必达泰克公司半导体泵浦固体激光器获美国专利
    必达泰克公司的半导体泵浦固体激光器近日获得了美国专利 (专利号: US 7,218,655 B2), 为环境温度变化较大时的激光器应用提供了新的选择。 该激光器采用了必达泰克公司自主研发的先进技术,使其在没有致冷/加热控制器的情况下也能在环境温度变化较大的情况下获得稳定的输出,从而避免了带有温度控制系统的激光器所常有尺寸大、功耗高的弊病,使其更适用于如搜索营救时的信号指示、现场检测设备以及激光指示器等应用。该专利可应用于蓝光、绿光等固体激光器上,在拓宽激光器的适用温度范围和延长其使用寿命方面有显著的效果。 美国必达泰克公司一直致力于激光器和微型光纤光谱仪的研发生产,在激光器和光谱仪的研发生产上有着丰富的经验。目前必达泰克公司在激光器和光谱仪方面已获得两项美国专利,并且还有十几项专利正在审核中。美国必达泰克公司,竭诚为您的激光应用服务!
  • 如何在半导体红海“卷”品质?
    关于半导体制造半导体制造过程中,如果遭到污染,很容易造成晶片内电路功能的损坏,因此清洗工艺贯穿整个制造过程。电子级异丙醇(EIPA)是一款优秀的清洗剂,在高科技高精度要求的产业(如:芯片、液晶、磁头)精密电子元器件的超净清洗制程中起到了非常关键的作用。EIPA纯度要求越来越高电子工业配套的精细化工材料具有品种多、质量高、用量小、纯度要求苛刻等特点,对电子产品的性能影响巨大。近年来半导体市场需求快速增长,国内半导体企业蓬勃发展,为保持产品性能稳定,对作为清洁剂使用的EIPA纯度要求也越来越高,每一批次都要经过几轮入厂质检才能投入使用。超高纯度的清洗剂是高品质半导体产品的基础保障。目前全球范围内EIPA行业领先的企业,能把长碳链杂质做到ppb级别(10的负9次方,相当纳克级);金属元素杂质做到ppt级别(10的负12次方,相当皮克级)。为了保持精细化工原料的纯度要求,不管是原料制造商还是下游用户入厂质检都有严格的品控规范,要求避免任何可能存在污染的环节。如何达到电子行业严苛的污染物检出限要求?又如何在质检环节避免人工转移造成的污染?这是全球半导体企业共同难点,越高的纯度决定了更大的市场占有率。如何借助技术满足EIPA纯度要求?使用Genevac Rocket离心浓缩仪对大体积EIPA进行浓缩,用以放大样品内的杂质信号,搭配SG套装,避免二次转移,直接将浓缩后的微量样品收集到GC进样小瓶中,使得后续进入分析设备可以检测出更准确的数据。英国 Genevac Rocket蒸发系统可多位处理,自动平行的处理大体积的溶剂蒸发。● 一次能蒸发6×450ml 溶剂,最大可处理5L;● 加热速度快,效率高;● 采用Dri-pure技术防爆沸,防止交叉污染;● 能将样品直接定量浓缩到GC小瓶中,蒸发停止后,可以直接将GC瓶取出,样品无需进行二次转移。如果你对上述产品或方案感兴趣,欢迎随时联系德祥科技可拨打热线400-006-9696Genevac英国Genevac是德祥集团资深合作伙伴之一。英国Genevac公司成立于1990年,隶属SP Scientific旗下,一直专注于研究和生产各种离心蒸发浓缩设备,其产品广泛应用于生命科学、制药、化学、分析等领域。德祥科技德祥科技有限公司成立于1992年,总部位于中国香港特别行政区,分别在越南、广州、上海、北京设立分公司。主要服务于大中华区和亚太地区——在亚太地区有27个办事处和销售网点,5个维修中心和2个样机实验室。30多年来,德祥一直深耕于科学仪器行业,主营产品有实验室分析仪器、工业检测仪器及过程控制设备,致力于为新老客户提供更完善的解决方案。公司业务包含仪器代理,维修售后,实验室咨询与规划,CRO冻干工艺开发服务以及自主产品研发、生产、销售、售后。与高校、科研院所、政府机构、检验机构及知名企业保持密切合作,服务客户覆盖制药、医疗、商业实验室、工业、环保、石化、食品饮料和电子等各个行业及领域。2009至2021年间,德祥先后荣获了“最具影响力经销商”、“年度最佳代理商“、”年度最高销售奖“等殊荣。我们始终秉承诚信经营的理念,致力于成为优秀的科学仪器供应商,为此我们从未停止前进的脚步。我们始终相信,每一天都在使这个世界变得更美好!
  • 4个功率半导体项目落地湖南株洲
    6月24日,湖南省功率半导体产业对接会暨功率半导体行业联盟第八届发展战略高峰论坛在株洲举行。会上,4个功率半导体项目现场签约,分别为特种变压器智能制造基地项目、SiC半导体设备与基材生产基地、沃坦科通信连接器项目、功率半导体基板批量制造基地项目。其中,SiC半导体设备与基材生产基地项目建设单位为株洲诺天电热科技有限公司(以下简称诺天科技)。诺天科技致力于中高频感应加热设备和工业控制设备的开发生产与推广应用,产品广泛应用于航天、交通、机械、冶金、轨道交通机车车辆行业等几十种加工制造行业中。此前披露的环评报告显示,该项目总投资约1.5亿元,规划用地面积14053.85平方米,总建筑面积11211.89平方米,主要建设内容包括新建1栋3F厂房1#(总建筑面积7780.69平方米)、1栋5F厂房2#(总建筑面积3391.60平方米),1栋1F门卫3#(建筑面积39.60平方米),配套建设给排水工程、停车位、环保设施等。据悉,目前,株洲功率半导体器件产业集群规模达460亿元,构建了从“芯片—模块—装置—系统”的完整产业链,集群产品广泛应用于轨道交通装备、智能化输配电工程、新能源汽车等领域,远销欧美、东南亚等地区。近年来,株洲SiC产业蓬勃发展,德智新材料半导体用SiC蚀刻环项目、顺为科技集团IGBT/SiC功率半导体模块项目等多个SiC相关项目相继签约落地。其中,德智新材料半导体用SiC蚀刻环项目总投资约2.5亿元,主要用于半导体用SiC蚀刻环的研发、制造,投产后年产值超1亿元。顺为科技集团IGBT/SiC功率半导体模块项目总投资7.5亿元,主要生产工业调频、充电桩、储能逆变、光伏/风力发电用IGBT模块等,建成达产后可年产400万个IGBT模块及100万个SiC模块,预计年产值8亿元。此次包括SiC半导体设备与基材生产基地项目在内的4个功率半导体项目签约落地,有望推动株洲功率半导体器件产业集群规模进一步发展壮大。
  • 快速退火炉在化合物半导体上的应用(RTP SYSTEM)
    前言碳化硅(SiC)是制作半导体器件及材料的理想材料之一,但其在工艺过程中,会不可避免的产生晶格缺陷等问题,而快速退火可以实现金属合金、杂质激活、晶格修复等目的。在近些年飞速发展的化合物半导体、光电子、先进集成电路等细分领域,快速退火发挥着无法取代的作用。01快速退火在化合物半导体上的应用碳化硅(SiC)是由碳元素和硅元素组成的一种化合物半导体材料,具有硬度高、热导率高、热稳定性好等优点,在半导体领域具有广泛的应用前景。由于碳化硅器件的部分工艺需要在高温下完成,这给器件的制造和封测带来了较大的难度。例如,在掺杂步骤中,传统硅基材料可以用扩散的方式完成掺杂,但由于碳化硅扩散温度远高于硅,所以需要采用高温离子注入的方式。而高能量的离子注入会破坏碳化硅材料原本的晶格结构,因此需要采用快速退火工艺修复离子注入带来的晶格损伤,消除或减轻晶体应力和缺陷,提高结晶质量。*退火工艺处理前后对比(图源:网络)02什么是快速退火炉(RTP SYSTEM)快速退火炉是利用卤素红外灯作为热源,通过极快的升温速率,将材料在极短的时间内从室温加热到300℃-1250℃,从而消除材料内部的一些缺陷,改善产品性能。*图源:网络03快速退火炉产品介绍 全自动双腔快速退火炉 RTP-DTS-8是一款全自动双腔快速退火设备,可兼容6-8英寸晶圆Wafer。产品优势✅ 全自动双腔设计,有效提升产能✅ 温度可达1250℃,具有超高温场均匀性✅ 具备稳定的温度重现性✅ 能够满足SIC量产化制程需求半自动快速退火炉RTP-SA-12是在保护气氛下的半自动立式快速退火系统,可兼容4-12英寸晶圆Wafer。产品优势✅ 采用红外卤素灯管加热,冷却采用风冷;✅ 快速PID温控,可控制温度升温,保证良好的重现性和温度均匀性;✅ 采用平行气路进气方式,气体进出口设置在晶圆表面,避免退火过程中冷点产生,保证良好的温度均匀性;✅ 大气与真空处理方式均可选择,实现进气前气体净化处理;✅ 标配两组工艺气体,可扩展至6组工艺气体。桌面型快速退火炉RTP-TABLE-6是一款桌面型快速退火设备,标配三组工艺气体,可兼容6英寸晶圆Wafer。产品优势✅ 红外卤素灯管加热,冷却采用风冷;✅ 采用快速PID温控,可控制温度升温,保证良好的重现性和温度均匀性;✅ 采用平行气路进气方式,气体进出口设置在晶圆表面,避免退火过程中冷点产生,保证良好的温度均匀性;✅ 大气与真空处理方式均可选择,实现进气前气体净化处理。
  • 木材衍生的纳米纤维素纸半导体制成
    日本研究人员开发出一种纳米纤维素纸半导体,其展现了3D结构的纳米—微米—宏观跨尺度可设计性以及电性能的广泛可调性。研究结果日前发表在美国化学学会核心期刊《ACS纳米》上。  具有3D网络结构的半导体纳米材料拥有高表面积和大量孔隙,使其非常适合涉及吸附、分离和传感的应用。然而,同时控制电气特性、创建有用的微观和宏观结构并实现出色的功能和最终用途的多功能性,仍然具有挑战性。  纤维素是一种源自木材的天然且易于获取的材料。纤维素纳米纤维(纳米纤维素)可制成具有与标准A4纸张尺寸相似的柔性纳米纤维素纸(纳米纸)片材。纳米纸不导电,但加热可引入导电特性。不过,这种受热也可能破坏纳米结构。  大阪大学研究人员与东京大学、九州大学和冈山大学合作,设计出一种处理工艺,使纳米纸能够加热,又不会破坏从纳米尺度到宏观尺度的纸结构。  “纳米纸半导体的一个重要特性是可调性,因为这允许为特定应用展开设计。”研究作者古贺博隆副教授解释说,碘处理对保护纳米纸的纳米结构非常有效。将其与空间控制的干燥相结合,意味着热解处理不会显著改变设计的结构,并且可使用选定的温度来控制电性能。  研究人员使用折纸和剪纸技术来提供纳米纸在宏观层面的灵活性。他们将鸟和盒子折叠起来,冲压出苹果和雪花等形状,并通过激光切割产生更复杂的结构。这证明了新工艺可能达到的细节水平,以及热处理没有造成损坏。  成功应用的例子是,纳米纸半导体传感器结合到可穿戴设备中,以检测穿过口罩呼出的水分和皮肤上的水分。纳米纸半导体也被用作葡萄糖生物燃料电池的电极,产生的能量点亮了一个小灯泡。  古贺博隆表示,新研究展现的将纳米材料转化为实际设备的结构维护和可调性非常令人鼓舞,新方法为完全由植物材料制成的可持续电子产品的下一步发展奠定了基础。
  • 屹唐半导体科创板首发过会
    8月30日,据上交所科创板上市委2021年第59次审议会议结果公告显示,北京屹唐半导体科技股份有限公司(下称“屹唐半导体”)科创板IPO首发过会。屹唐半导体是一家总部位于中国,以中国、美国、德国三地为研发、制造基地,面向全球经营的半导体设备公司,主要从事集成电路制造过程中所需晶圆加工设备的研发、生产和销售,面向全球集成电路制造厂商提供包括干法去胶设备、快速热处理设备、干法刻蚀设备在内的集成电路制造设备及配套工艺解决方案。屹唐半导体的产品已被多家全球领先的存储芯片制造厂商、逻辑电路制造厂商等集成电路制造厂商所采用,服务的客户全面覆盖了全球前十大芯片制造商和国内行业领先芯片制造商。截至2020年12月31日,屹唐半导体产品全球累计装机数量已超过3,700台并在相应细分领域处于全球领先地位。根据Gartner统计数据,2020年公司干法去胶设备、快速热处理设备的市场占有率分别位居全球第一、第二。技术研发方面,屹唐半导体在集成电路制造使用的干法去胶、快速热处理、干法刻蚀设备领域掌握了双晶圆真空反应腔设计、双晶圆反应腔真空整合传输设备平台设计、电感耦合远程等离子体源设计、远程等离子体源电荷过滤装置、晶圆双面辐射加热快速热退火技术、晶圆表面局部温度均匀度调节技术等核心技术。截至2021年5月31日,屹唐半导体拥有发明专利309项,并承担国家重大科研项目/课题。屹唐半导体干法去胶设备、快速热处理设备主要可用于90纳米到5纳米逻辑芯片、1y到2x纳米系列DRAM芯片以及32层到128层3D闪存芯片制造中若干关键步骤的大规模量产;干法刻蚀设备主要可用于65纳米到5纳米逻辑芯片、1y到2x纳米系列DRAM芯片以及32层到128层3D闪存芯片制造中若干关键步骤的大规模量产。未来规划上,屹唐半导体表示,公司将致力于成为国际领先的集成电路设备公司,将持续为集成电路制造环节提供更先进处理能力和更高生产效率的集成电路专用设备。在未来的发展中,公司将持续践行实施国际化经营、注重研发投入、拓展产品和客户、优化供应链、注重人才培育和激励、完善公司知识产权保护、实施外延式并购等战略规划。
  • 在半导体、激光加工、安检行业,滨松的核心光技术如此发力
    自1953年成立以来,滨松公司一直积极投入与人们日常生活息息相关的领域。从扫地机器人到LIDAR小车,从可穿戴健康监测设备到健康随时报警器,再到用于检测晶圆等产品的半导体失效分析设备,滨松公司在半导体制造、健康监测,激光加工,智能设备以及未来的太赫兹等应用领域持续创新,致力于为人们的生活带来便捷与创新。接下来小编会与大家共同分享,在此次光子展中滨松的半导体应用,激光加工应用,X射线应用等相关产品如何将光技术融入我们的日常生活,为我们的生活带来便捷与希望。半导体制造行业在信息时代的大潮中,半导体成为了不可或缺的基石,如同粮食对于工业的重要性,它是电子设备的心脏,深深影响着我们的生活。从尖端的科技领域到日常生活的方方面面,半导体无处不在。比如,半导体芯片在智能汽车、5G通信、航空航天、国防军工、医疗卫生等领域中发挥着关键作用。滨松,一直致力于半导体产业的发展,通过自主研发,推出了多款创新产品,满足各种应用技术的需求。例如,一款独特的电离静电消除器,它能在低到高真空级别下工作,无需吹气。此外,还有用于检测micro LED晶圆的系统、高精度高速膜厚测量仪、丰富的光谱仪产品线以及小型化高输出的UV-LED单元等。那么,这些产品在实际使用中有哪些独特的优势和表现呢?让我们一探究竟!VUV电离器静电消除器VUV静电消除装置,真空静电消除器是使用“光离子化”来应用真空紫外光去除静电的静电电荷去除器。这种创新的离子化方法利用真空紫外光的独特功能来消除真空(减压状态)中不需要的静电电荷,这是此前一直无法实现的。主要用于消除工业生产过程中真空的静电,例如半导行业,LCD行业以及其他自动化工序的关键工艺中。产品特点:■可真空中和 、高水平的静电消除性能(0 V静电消除);■不需要吹气 、支持低到高真空级别;■防止反向充电,无粉尘产生。MiNYPL:微型LED PL测试仪MiNYPL 是一种使用光致发光 (PL) 测量方法的微型 LED 晶圆检查系统。MiNYPL是一种独特的二维成像技术,不必使用光谱仪,就可以一次性计算出平面内的发光波长。主要应用于Micro/Mini LED产品的发光和波长异常的检测中,可以在产品出现缺陷问题时帮助客户进行精准、快速定位。产品特点:■能够检测到仅通过外观检查无法发现的发光异常和波长异常;■实现电致发光(EL)测试无法实现的详尽测试;■通过在生产前进行检验来提高良率。高精度膜厚测量仪Optical NanoGauge 膜厚测量系统 C15151-01 是一种利用光谱干涉法的非接触式膜厚测量系统。这种大功率、高稳定的白光光源支持精确测量薄膜厚度,包括超薄薄膜(1 nm)。此外,光源的使用寿命为 10,000 小时,适用于在线操作。产品特点:■支持超薄薄膜测量(1 nm甚至更换激光器后更低); ■高度精确(测量重复性:0.1 nm以下);■采用大功率白光光源;■使用寿命长(维护周期1年以上)。光谱仪光谱分析是物质分析中的一种重要方法,在工业,农业,环境,食品,医药和制药等领域中的应用都十分普遍,而光谱仪则是长期征战于第一线的核心器件之一。针对于光谱仪来说,滨松可谓是拥有各种型号不同性能的全线产品。并且就连光谱仪需要的软件滨松也在近期有了升级,”尖雀“光谱仪软件全新亮相。1、滨松超小型光谱仪家族全亮相,满足不同波段需求(可量产)2、从图像传感器到微型光谱仪的进阶之路,滨松有话说3、滨松光谱仪软件升级了,诚邀测试反馈4、三招提升光谱仪信号质量 LIGHTNINGCURELC-L5G线性照明型UV-LED单元滨松 LIGHTNINGCURE LC-L5G 系列是线性照射型 UV-LED 光源系列,有多种波长范围如365 nm / 385 nm /395 nm / 405 nm可供选择,具有许多出色的特点,如小型化、重量轻、高输出和大片照射区域,使其成为包括 UV 印刷、UV 涂布和 UV 粘合剂固化等各种用途的理想选择。为了实现最高的 UV-LED 光源性能,滨松采用了名为 ThoMaS 的专利型空气制冷法,名为 HANCE (*1) 的专利型氮气吹扫法,以及可延长产品保修期的保修延期选项 ALiCE。*1:ThoMaS 和 HANCE 仅适用于 GH-103A 型号。激光加工行业在当今高速发展的科技时代,激光技术已经渗透到各个领域,尤其在中国制造2025的大背景下,它已成为不可或缺的重要支撑。从晶圆切割、手机屏幕粘贴,到玻璃切割、塑料焊接以及表面处理,激光技术的身影无处不在。众所周知,半导体激光器因其大输出功率、低价格的优势,使得激光器处理的用途越来越广泛。但随之而来的是可靠性和质量控制的担忧,成为了阻碍其普及的难题。对此,滨松认为激光器处理过程的稳定性与视觉控制是消除这些担忧的关键。如今,滨松光已经将半导体激光器应用于各类产品中,从研发到生产现场,无一不是它的用武之地。SPOLDld辐照光源L13920系列印刷电子是通过印刷制造电子电路的技术,只需将设计好的电路用金属纳米油墨印刷在衬底上,加热(烧结)即可制成电子电路。金属纳米油墨加热(烧结)过程的热源可以采用滨松的SPOLD辐照激光产品,使用激光束照射金属纳米油墨加热,使金属纳米颗粒粘合在一起进行烧制。产品特点:■由于只有激光应用的纳米墨水被加热和烧结,它几乎不影响周边;■即使是不耐热的材料也可以用作基板;■可以节省电力,因为电路可以只使用能量来加热工件;■由于从电到激光的高转换效率,卓越的能源效率(电光转换效率:60%或更高)。硅基液晶-空间光调制器滨松LCOS-SLM 是反射空间光相位调制器,可自由调制光相位,而激光的光相位由液晶调制。光的波前控制可应用于光束光刻、像差校正。并且滨松最近也发布了最新款SLM,通过应用我们专有的热设计技术和改善散热性能,我们能够将耐光性能提高到世界级的700 W(大约是以前型号的3.5倍)。配合大功率激光,可实现灵活、高精度、高效率的加工,点击此处了解新品详情。针对于SLM需要的代码,滨松现在也免费提供给大家,详情可以点击此处了解。iPMSEL 可积相位调制表面发射激光器iPMSEL全称是Integrable Phase Modulating Surface Emitting Lasers,是滨松开发的一种芯片大小的光源,可以从半导体芯片直接控制光束输出,可集成相位调制表面发射激光器,通过超小模式光源实现自然立体显示。由于它们的精细性,集成是可能的,并且在未来,正在进行的技术目标是将大量光束转向灵活的方向。安全检测产业随着世界各地海关港口、民用航空和交通运输的飞速进步,人们对安全的重视程度与日俱增,安检市场也因此蓬勃发展。在这样的背景下,快速、准确地识别和应对危险因素变得至关重要。滨松凭借其独特的X射线技术,精心打造出微焦点射线源和相关的X射线探测器,广泛应用于无损检测等关键领域。这些产品不仅代表了滨松的技术实力,更为安检行业树立了新的标杆。低真空操作离子探测器机场安检拥堵、漫长的排队等待,让人疲惫不堪?这一切都因为传统的检测方式太粗糙,许多细小的物件常常被遗漏,导致误报频发,而重复检测又耗费大量时间。那么,有没有一种方法能解决这个问题呢?答案是肯定的!低真空操作离子探测器就是救星!只需将检测板与待测物品轻轻一碰,然后立即放入检测设备中,即可迅速完成安全检测。这种高科技设备不仅对目标材料极其敏感,而且还能大大简化检测流程,再也不用为机场安检排队而烦恼了!X射线检测X射线可以穿透普通可见光无法穿透的物质,穿透能力与X射线的波长及穿透材料的密度、厚度有关。X射线波长越短,穿透率越高;待测物密度越低且厚度越薄,X射线穿透就越容易。X射线成像的基本原理便是根据X射线的特性以及零件的密度和厚度的差异来进行。可以清楚地观察内部而不损坏物体,因此在广泛应用于安全检测。滨松在X射线方面所具有的成像能力,大家可以点击此篇文章如何获得一张满意的X射线图像(收藏就等于会了来了解技术原理解析,接下来从产品层面为大家进一步说明。X射线源对于要求高精度检测技术的X射线无损检测市场,例如越来越精细的电子设备和越来越多样化的食品,滨松通过提供广泛的X射线源和探测器来满足各种需求,在X射线无损检测中发挥关键作用。以下只是滨松部分线源的型号,如有需求可以在评论区留言,会有工程师与您联系。详解:无损检测中的微焦点X射线源(MFX)X射线探测器(一维成像)适用于需要高速工作和高灵敏度在线成像用途的相机。传统的线阵传感器相机在高分辨率成像下具有低辉度,而 X 射线 TDI 相机则提高了图像辉度,从而增强了图像。最适用于线性移动物体成像或宽高比显著不对称的成像。另提供可在狭小空间内安装的垂直 X 射线 TDI 相机。X射线平板传感器(二维成像)将大面阵 CMOS 图像传感器和微光纤板与闪烁体 (FOS) 结合在一起的 X 射线平板传感器。可以采集百万像素级的高清数字视频和静态图像,而不会失真。平板传感器外形薄、重量轻,可轻松安装到其他设备中。产品特点:■ 高速成像;■ 高X射线电阻;■ 低噪音,低缺陷。以上关于部分热门应用的相关介绍就到此结束,如果还有其他问题,欢迎评论区留言或者直接联系相关工程师获取技术支持。编辑:又又&▼
  • 布局黄金十年 关注拉动半导体板块业绩增长的近200类仪器
    当前, 我国在半导体领域面临着被“卡脖子”的问题。为了尽快发展国内半导体产业,鼓励自主创新,及早摆脱对进口的依赖,国家陆续出台免税、补贴多项政策大力支持国内半导体产业发展。中国的半导体产业近年来保持着20%以上的高速增长率,据估计2021年国内市场将达到677亿美元。科学仪器在半导体研发中起到关键作用,半导体的纯度、杂质、性能检测都离不开科学仪器,因此越来越多的科学仪器厂商对于半导体领域愈发重视。伴随着政策的支持、资本的注入,国内半导体市场必将成为最具发展潜力的产业之一;随着半导体研发项目的增加及产量的提升,对于科学仪器的需求必将增大,这将直接拉动科学仪器企业半导体领域的仪器销量和业绩,成为备受瞩目的盈利增长点。仪器信息网综合科学仪器在硅材料、光掩模、光刻材料、电子气体、工艺化学品、抛光材料、靶材、封装材料等领域的应用整理了一份仪器清单,近200类仪器或将在伴随着未来半导体行业发展的“黄金十年”而大展拳脚。(以下仪器可能存在并列或包含关系,未进行区分)序号仪器1高频红外碳硫分析仪2高阻仪3高速老化试验箱4高温试验箱5高效液相色谱6高压离子色谱系统7高低温湿热交变试验箱8高低温冲击试验箱9高低温交变湿热试验箱10飞行时间二次离子质谱仪11颗粒仪12频谱分析仪13顶空-气相色谱-质谱联用仪14顶空-气相色谱15非金属膜厚仪16阿贝闪点仪17阳离子色谱仪18针/锥入度仪19金相显微镜20金属膜厚仪21酸开封机22透射电子显微镜23透光率/雾度测定仪24辉光放电质谱仪25超高温差热分析仪26超纯水机27超景深显微系统28超声扫描显微镜29表面缺陷检测系统30表面张力仪31色谱仪32自动研磨机33自动电位滴定仪34自动滴定仪35能量色散型X射线荧光分析仪36聚焦离子束扫描电子显微镜37聚焦离子束场发射扫描电子显微镜38聚焦离子束39耐压测试仪40网络分析仪41维氏硬度计42纳米粒度仪43红外光谱仪44紫外老化箱45紫外/可见分光光度计46精密研磨机47精密切割机48粘着力测试仪49粘度计50等离子聚焦离子束51空气粒子计数器52离子色谱仪53离子研磨仪54磷检区熔炉55磨损测试系统56硝酸提纯仪57研磨机58矢量网络分析仪59矢量信号发生器60直读光谱仪61盐雾试验箱62界面材料热阻及热传导系数测量系统63电热鼓风干燥箱64电感耦合等离子质谱仪65电感耦合等离子发射光谱仪66电感耦合等离子光谱仪67电导率仪68电子天平69电子分析天平70电化学工作站71电位滴定仪72热风回流焊73热重分析仪74热机械分析仪75热常数分析仪76热导气相色谱77热导检测器气相色谱仪78热导分析仪79激光粒度仪80激光粒子计数器81激光散射粒径分布分析仪82激光开封机83激光导热仪84漏电起痕测试仪85温度循环试验箱86混合气体试验箱87液相色谱质谱联用仪88液相色谱仪89液体颗粒计数仪90液体颗粒仪91液体粒子传感器92流变仪93水氧分析仪94水分析仪95水分仪96氧氮氢分析仪97氧弹燃烧离子色谱仪98氧化物膜厚仪99氧分仪100氦离子化气相色谱仪101氦气氟油加压检漏装置102氦检漏仪103氢火焰离子化气相色谱仪104氙灯老化机105气相色谱仪106气相色谱-质谱联用仪107气相色用仪108气体分析仪109显微红外分析仪110数字式硅晶体少子寿命测试仪111放电氦离子化气相色谱仪112摆锤冲击试验机113接触角测量仪114拉力剪切仪115扫描电镜-电子背散射衍射116扫描电镜117扩展电阻测试仪118手动磨抛机119感应偶合等离子质谱仪120恒温恒湿箱121总有机碳检测仪122快速高低温湿热交变试验箱123微量水分仪124微量氧分析仪125微波消解仪126微机控制万能(拉力)试验机127微控数显电加热板128微探针台129影像仪130库伦法卡尔费休水分仪131库仑法卡氏水分测定仪132库仑水分滴定仪133差示扫描量热仪134少子寿命分析仪135导电型号测试仪136密度仪137多参数测量仪138多功能颗粒计数仪139塑料摆锤冲击试验机140场发射扫描电镜141四探针阻抗仪142台阶仪143台式BSE扫描电子显微镜144可编程晶体管曲线图示仪145可焊性测试仪146原子力显微镜147单面抛光机148半导体参数测试仪149动态热机械分析仪150凝胶渗透色谱151冷热冲击试验箱152冷场扫描电镜153关键尺寸扫描电子显微镜154全自动色度测试仪155光学金相显微镜156光学膜厚仪157傅立叶变换近红外光谱仪158傅立叶变换红外光谱仪159低温试验箱160低温傅立叶变换红外光谱仪161二维X射线检测仪162两探针电阻率测试仪163三重四极杆ICPMS164三维立体成像X射线显微镜165三维光学轮廓仪166三坐标测量机167万能试验机168万能推拉力试验机169α-粒子计数器170X射线检测仪171X光电子能谱仪172TOC仪173纳米粒度仪174PH计175EMI扫描台176单面抛光机177CNC视像测量系统(三次元)1788寸化学机械研磨机台1793D立体显微镜18012寸晶圆缺陷检测机18112寸化学机械研磨机台182三参数测定仪可预见的是,以上仪器必将在未来的半导体领域大有可为,同时仪器厂商对于半导体板块的竞争和细分市场争夺也必将更加激烈。
  • 负债28亿房地产公司跨界收购功率半导体公司
    8月3日,地产行业上市公司皇庭国际突然宣布跨界并购半导体公司——德兴市意发功率半导体有限公司(以下简称“意发功率”)。公告显示,皇庭国际下属全资子公司皇庭基金与意发产投基金的部分合伙人德兴产融基金管理有限公司、杨仲夏达成转让协议。转让方拟将其持有的全部份额(实缴出资额4600万元,占意发产投基金实缴总金额的20%)全部转让给皇庭基金。意发产投基金持有意发功率的股权比例为 66.6667%,交易完成后,皇庭基金将成为意发产投基金的执行事务合伙人及管理人,并持有对意发产投基金的实缴出资份额人民币4600万元(占意发产投基金实缴总金额的20%)。本次收购完成后,皇庭国际将通过意发产投基金间接持有意发功率的股权。意发功率成立于2018年,是江西省第一家芯片制造公司,也是江西省政府2018年度招商引资的实施主体。公司主要从事功率半导体器件及智能功率控制器件的设计、制造及销售,具备从芯片设计、晶圆制造到模组设计一体化的能力。公司产品广泛应用于工控通信、工业感应加热、光伏发电、风力发电、充电桩和新能源车等领域。其战略发展规划是稳定现有白色家电类功率半导体产业,积极开拓已被客户认可的光伏发电市场,并利用现有的充放电功率半导体的技术积累,积极拓展充电桩控制芯片、电动车控制芯片业务。截至3月31日,皇庭国际账面货币资金仅为3743万元。此外,公司目前拖欠中信信托27.5亿元的借款逾期未还。对于此次收购,皇庭国际表示,在功率半导体行业快速发展的大背景下,意发功率将迎来巨大的发展空间。本次收购是公司围绕“商管+科技”发展战略布局半导体行业的第一步,有助于公司形成新的业务。未来,公司将以意发功率半导体为基础,通过扩大再生产、产业链上下游的延伸等多种途径,提高上市公司盈利能力。同花顺(300033)金融研究中心8月5日讯,有投资者向皇庭国际(000056)提问, 董秘你好,请问公司的收购为什么要选择这个功率半导体公司,有没有长远的发展计划?公司回答表示,尊敬的投资者,您好!1、公司于2021年8月4日发布了公告《关于收购德兴市意发功率半导体有限公司股权的公告》,本次收购意发功率半导体公司主要是为推动公司战略转型,是公司围绕“商管+科技”发展战略布局半导体行业的第一步。公司做出上述决策,是综合考量行业发展及自身情况等多种因素后,做出的慎重选择。2、功率半导体是电子装置电能转换与电路控制的核心,是重要且不可替代的基础性电子产品,广泛应用于国民经济建设的各个领域。受益于新能源汽车、光伏/风电、5G基站、特高压、城际铁路、智能家电等行业的快速发展,功率半导体行业将迎来新的景气周期。3、意发功率半导体公司是一家集设计、生产集销售于一体的IDM模式的半导体公司,公司在技术、产品、客户等方面具有较强的竞争优势,且公司晶圆生产线已经投产,目前正处于产能爬坡的阶段,预计明年将进入满产状态。经过与意发半导体公司的多次、深入洽谈后,公司认为意发功率是一家非常理想的合作方。4、除本次收购外,公司还在与意发功率其他股东就股权收购及合作事宜进行沟通,后续根据相关谈判的进展,公司将及时履行审议及信息披露义务。未来,公司将以意发功率半导体为基础,通过扩大再生产、产业链上下游的延伸等多种途径,稳步深耕功率半导体业务,提高上市公司盈利能力。5、感谢您的关注。
  • 新年新气象,无锡冠亚国产半导体温度控制系统迈上新台阶
    随着科学技术的不断进步,半导体测试的重要性正日益凸显,国产仪器设备中,用于半导体测试行业的半导体温度控制系统厂家并不是很多,半导体温度控制系统也在不断的完善生产销售中。  半导体温度控制系统广泛运用于半导体设备高低温测试,电子设备高温低温恒温测试冷热源,拥有独立的制冷循环风机组,可连续长时间工作,自动除霜,除霜过程不影响库温。半导体温度控制系统采用模块化设计,备用机替换容易(如果有10台机子,只要一台备用机组即可),解决频繁开关门,蒸发系统结霜问题;蒸发系统除霜过程不影响,构筑一套高低温恒温室变的简单(根据提供的图纸,像搭积木一般拼接好箱体,连接好电和水,设定好温度即可工作)。面对半导体测试市场红利,无锡冠亚恒温制冷技术有限公司半导体温度控制系统抓住市场机遇的基础上,结合市场需求,掌握半导体的发展趋势,研制生产出更贴合实际需求的半导体温度控制系统设备。  半导体温度控制系统的研发从来都不是一蹴而就的,从满足基本温度要求,到如今的高温低温恒温测试冷热源,无锡冠亚的半导体温度控制系统也一直在经历着脱胎换骨的变化,国产半导体温度控制系统生产厂家也在见证着半导体测试行业技术的革新和进步。百舸争流,奋楫者先。在国内生产半导体温度控制系统的厂家中,无锡冠亚在半导体温度控制系统产品的技术水平上实现了很大的突破,也让半导体测试设备在国际市场的影响力不断的提高。  无锡冠亚除了先进的制冷加热控温技术外,其设备质量也是其重要的基础工程之一,在产品质量日益发展的今天,其质量性能日益成为提升企业核心竞争力的关键性核心要素,更多的国产仪器设备生产厂家也具有了全球性的战略眼光,致力于行业标准的制定和实施。其半导体温度控制系统是由多位控温领域的专家参与其中设计,凝聚了行业内拥有丰富经验的生产技术,不断创新发展,对于国产仪器设备行业的发展具有着重要的指导意义。  时不待我,只争朝夕!无锡冠亚半导体温度控制系统厂家正凭借着自己不断向上的动力和源源不断的创新能力,在制冷加热控温领域中承担起更大的作用,在国际市场中树立起中国制造的招牌!
  • Memmert IPPplusL半导体光照培养箱荣获2015行业绿色仪器
    Memmert IPPplusL半导体光照培养箱荣获“2015科学仪器行业绿色仪器”奖 Memmert IPPplusL半导体光照培养箱在2016中国科学仪器发展年会(ACCSI 2016)上获颁“2015科学仪器行业绿色仪器”奖,为仅有两个获奖产品之一。  为了保护环境和实验室人员的身体健康,节约成本,加强仪器厂商设计、生产低碳环保产品方面的“创新”的理念,倡导广大用户使用低碳环保的仪器产品。 Memmert IPPplusL半导体光照培养箱以人为本采用多项创新技术,致力于在最大程度保护实验室人员与环境的同时营造精准的温度及光照实验条件,多方面契合绿色仪器的理念:外观设计上采用全不锈钢材质;加热制冷采用半导体技术,节能减碳环保,低振动;照明则用LED替代荧光灯管完美模拟自然光照环境,并可以根据应用环境不同调节光照强度。适应于多种应用研究与检测领域。获奖证书 颁奖晚会现场关于德国Memmert全球领先的温控箱体领导品牌德国MEMMERT(美墨尔特),成立于1933年,是全球最大的温控箱体制造商。八十多年来,美墨尔特致力于精确温控技术的研究、开发和生产。其产品包括CO2培养箱、恒温恒湿箱、光照培养箱、低温培养箱、环境测试箱、真空烘箱、通用烘箱、灭菌箱、培养箱、水浴油浴等。德国 MEMMERT 公司有着长达二十多年的半导体控温技术(Peltier)经验,也是全球唯一能够提供全系列半导体技术温控箱体的制造商。 2010年9月11日,德国MEMMERT(美墨尔特)大中华区全资子公司——美墨尔特(上海)贸易有限公司在上海成立。2015年,北京代表处成立,“至尊品质,追求卓越,永不妥协”!
  • 中国半导体十大研究进展候选推荐(2022-015)——超高热导率半导体-砷化硼的载流子扩散动力学研究
    以下文章来源于国家纳米科学中心 ,作者刘新风课题组1 工作简介——超高热导率半导体-砷化硼的载流子扩散动力学研究国家纳米科学中心刘新风研究员团队联合休斯顿大学包吉明团队和任志锋团队在超高热导率半导体-立方砷化硼(c-BAs)单晶的载流子扩散动力学研究方面取得重要进展,为其在集成电路领域的应用提供重要基础数据指导和帮助。相关研究成果发表在Science杂志上。随着芯片集成规模的进一步增大,热量管理成为制约芯片性能越来越重要的因素。受散热问题的困扰,人们不得不牺牲处理器的运算速度。从2004年后,CPU的主频便止步在了4 GHz,只能通过增加核数来进一步提高整体的运算速度,然而这一策略对于单线程的算法却是无效的。2018年,具有超高热导率的半导体c-BAs的成功制备引起了人们极大兴趣,其样品实测最高室温热导率超过1000 Wm-1K-1,约为Si的十倍。c-BAs不仅具有高的热导率,由于其超弱的电声耦合系数和带间散射,理论预测c-BAs还同时具有非常高的电子迁移率(1400 cm2V-1s-1)和空穴迁移率(2110 cm2V-1s-1),这在半导体材料系统中是非常罕见的,有望将其应用在集成电路领域来缓解散热的困难并且能够实现更高的运算速度,因而通过实验来确认这种高热导率的半导体材料的载流子迁移率具有非常重要的意义。虽然c-BAs被制备出来,但样品中广泛分布着不均匀的杂质与缺陷,为其迁移率的测量带来极大的困难。一般可以通过霍尔效应,测定样品的载流子的迁移率,然而电极的大小制约着其空间分辨能力,并直接影响到测试的结果。2021年,利用霍尔效应测试的c-BAs单晶的迁移率报道结果仅为22 cm2V-1s-1,与理论预测结果相差甚远。具有更高的空间分辨能力的原位表征方法是确认c-BAs本征迁移率的关键。通过大量的样品反复比较,研究团队确定了综合应用XRD、拉曼和带边荧光信号来判断样品纯度的方法,并挑选出了具有锐利XRD衍射(0.02度)窄拉曼线宽(0.6波数),接近0的拉曼本底,极微弱带边发光的高纯样品。进一步,研究团队自主搭建了超快载流子扩散显微成像系统。通过聚焦的泵浦光激发,广场的探测光探测,实时观测载流子的分布情况并追踪其传输过程,探测灵敏度达到了10-5量级, 空间分辨能力达23 nm。利用该测量系统,详细比较了具有不同杂质浓度的c-BAs的载流子扩散速度,首次在高纯样品区域检测到其双极性迁移率约 1550 cm2V-1s-1, 这一测量结果与理论预测值(1680 cm2V-1s-1)非常接近。通过高能量(3.1 eV,400 nm)光子激发,研究团队还发现了长达20ps的热载流子扩散过程,其迁移率大于3000 cm2V-1s-1。立方砷化硼高的载流子和热载流子迁移速率,以及其超高的热导率,表明其可以广泛应用在光电器件、电子元件中。该研究工作厘清了理论和实验之间存在的巨大差异的具体原因,为该材料的应用指明了方向。图1. 瞬态反射显微成像和在c-BAs中的载流子扩散。(A)实验装置示意图,激发波长为600 nm探测波长为800 nm (B)不同时刻的瞬态反射显微成像(标尺1微米) (C)典型的载流子动力学 (D)0.5 ps的二维高斯拟合 (E)不同时刻的载流子分布方差随时间的演化及载流子迁移率,误差标尺代表95%置信拟合区间。国家纳米科学中心副研究员岳帅为文章第一作者,刘新风研究员为通讯作者。文章的共同第一作者为休斯顿大学田非博士(现中山大学教授),共同通讯作者为休斯顿大学包吉明教授和任志锋教授。该研究工作得到了中国科学院战略性先导科技专项(B类)、国家自然科学基金委项目、万人计划青年拔尖人才计划、科技部重点研发计划、科学院仪器研制项目等项目的大力支持。2作者简介通讯作者刘新风,国家纳米科学中心研究员,博士生导师。2004年获东北师范大学学士学位。2007年获东北师范大学硕士学位。2011年获中科院大学博士学位。2015年中科院海外人才计划加入国家纳米科学中心。2021年获中组部人才计划支持。目前担任中国科学院纳米标准与检测重点实验室副主任。研究方向为半导体材料微纳尺度光与物质相互作用光谱和物性研究。近年来在Science, Nat. Mater., Adv. Mater., Nano Lett.等期刊上发表论文210余篇,总引用15000余次,H因子61。担任Nat. Nanotech., Sci. Adv., Nano Lett., Adv. Mater. 等国际学术期刊审稿人。任Journal of Physics: Photonics, Nano Materials编委会委员,InfoMat, Materials Today Physics, Materials Today Sustainability, Frontiers of Physics青年编委。通讯作者包吉明,美国休斯顿大学电子与计算机工程系教授,博士生导师。美国物理学会会士,美国光学学会会士。2003年于密歇根大学获得博士学位,导师Roberto Merlin,2003年-2008年在哈佛大学做博士后研究,合作导师为Federico Capasso。2008年加入美国休斯顿大学电子与计算机工程系。主要研究方向为新型纳米材料的制备与纳米光电子学研究。发表文章250余篇,引用量19000,H因子62。通讯作者任志锋,教授,博士生导师。现为美国休斯顿大学物理系M.D. Anderson讲席教授,德克萨斯州超导研究中心主任。1984年在西华大学获得本科学位,1987年在华中科技大学获得硕士学位,1990年在中科院物理所获得博士学位。他的研究集中在具有高ZT值和高功率系数的热电材料、极高热导及载流子迁移率的砷化硼单晶、用于提高石油采收率的纳米材料、电解水产制氢催化剂、用于捕获和消灭SARS-CoV-2冠状病毒的加热过滤器、碳纳米管、太阳能转换材料、柔性透明电子器件和超导材料及其应用等。第一作者岳帅,国家纳米科学中心副研究员。2016年于中科院物理所获理学博士学位,导师翁羽翔研究员。2017年-2020年在电子科技大学-美国休斯顿大学从事博士后研究,合作导师王志明教授和包吉明教授。2020年加入国家纳米科学中心。长期从事超快光谱研究。在Science, PNAS, Nature Materials 等期刊上发表论文20余篇,申请专利5项。第一作者田非,中山大学材料科学与工程学院教授,博士生导师。2012年本科毕业于南开大学物理科学学院,2013年进入美国休斯顿大学物理系攻读博士学位,导师是任志锋教授。2018年获得博士学位后,继续在任志锋教授课题组从事博士后研究。2020年起加入中山大学材料科学与工程学院。长期从事新型散热材料的合成和制备,基本性质的表征和分析,以及相关应用的设计和开发。目前已在国际主流学术期刊发表论文三十余篇。
  • 十五种分析仪器助力半导体工艺检测
    p style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 半导体器件生产中,从半导体单晶片到制成最终成品,须经历数十甚至上百道工序。为了确保产品性能合格、稳定可靠,并有高的成品率,根据各种产品的生产情况,对所有工艺步骤都要有严格的具体要求。因而,在生产过程中必须建立相应的系统和精确的监控措施,首先要从半导体工艺检测着手。 /span /p p style=" text-align: justify text-indent: 2em " 半导体工艺检测的项目繁多,内容广泛,方法多种多样,可粗分为两类。第一类是半导体晶片在经历每步工艺加工前后或加工过程中进行的检测,也就是半导体器件和集成电路的半成品或成品的检测。第二类是对半导体单晶片以外的原材料、辅助材料、生产环境、工艺设备、工具、掩模版和其他工艺条件所进行的检测。第一类工艺检测主要是对工艺过程中半导体体内、表面和附加其上的介质膜、金属膜、多晶硅等结构的特性进行物理、化学和电学等性质的测定。其中许多检测方法是半导体工艺所特有的。 /p p style=" text-align: justify text-indent: 2em " 工艺检测的目的不只是搜集数据,更重要的是要把不断产生的大量检测数据及时整理分析,不断揭示生产过程中存在的问题,向工艺控制反馈,使之不致偏离正常的控制条件。因而对大量检测数据的科学管理,保证其能够得到准确和及时的处理,是半导体工艺检测中的一项重要关键。同时半导体检测也涉及大量的科学仪器,针对于此,对一些半导体检测的仪器进行介绍。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/537.html" target=" _self" 椭偏仪 /a /h3 p style=" text-align: justify text-indent: 2em " 椭偏仪是一种用于探测薄膜厚度、光学常数以及材料微结构的光学测量仪器。由于测量精度高,适用于超薄膜,与样品非接触,对样品没有破坏且不需要真空,使得椭偏仪成为一种极具吸引力的测量仪器。 /p p style=" text-align: justify text-indent: 2em " 目前,椭偏仪是测量透明、半透明薄膜厚度的主流方法,它采用偏振光源发射激光,当光在样本中发生反射时,会产生椭圆的偏振。椭偏仪通过测量反射得到的椭圆偏振,并结合已知的输入值精确计算出薄膜的厚度,是一种非破坏性、非接触的光学薄膜厚度测试技术。在晶圆加工中的注入、刻蚀和平坦化等一些需要实时测试的加工步骤内,椭偏仪可以直接被集成到工艺设备上,以此确定工艺中膜厚的加工终点。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/1677.html" target=" _self" span style=" text-indent: 2em " 四探针测试仪 /span /a /h3 p style=" text-align: justify text-indent: 2em " 四探针测试仪是用来测量半导体材料(主要是硅单晶、锗单晶、硅片)电阻率,以及扩散层、外延层、ITO导电箔膜、导电橡胶方块电阻等的测量仪器。 /p p style=" text-align: justify text-indent: 2em " 测量半导体电阻率方法的测量方法主要根据掺杂水平的高低,半导体材料的电阻率可能很高。有多种因素会使测量这些材料的电阻率的任务复杂化,包括与材料实现良好接触的问题。特殊的探头设计用于测量半导体晶片和半导体棒的电阻率。这些探头通常由诸如钨的硬质金属制成,并接地到探头。在这种情况下,接触电阻很高,必须使用四点共线探针或四线绝缘探针。两个探针提供恒定电流,另外两个探针测量整个样品一部分的电压降。通过使用所测电阻的几何尺寸来计算电阻率。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 薄膜应力测试仪 /span br/ /h3 p style=" text-align: justify text-indent: 2em " 薄膜应力作为半导体制程、MEMS微纳加工、光电薄膜镀膜过程中性能测试的必检项,其测试的精度、重复性、效率等因素为业界所重点关注。对应产品目前业界有两种主流技术流派:1)以美国FSM、KLA、TOHO为代表的双激光波长扫描技术(线扫模式),尽管是上世纪90年代技术,但由于其简单高效,适合常规Fab制程中进行快速QC,至今仍广泛应用于相关工厂。2)以美国kSA为代表的MOS激光点阵技术,抗环境振动干扰,精于局部区域内应力测量,这在研究局部薄膜应力均匀分布具有特定意义。线扫模式主要测量晶圆薄膜整体平均应力,监控工序工艺的重复性有意义。但在监控或精细分析局部薄膜应力,激光点阵技术具有特殊优势,比如在MEMS压电薄膜的应力和缺陷监控。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 热波系统 /span br/ /h3 p style=" text-align: justify text-indent: 2em " 热播系统主要用来测量掺杂浓度。热波系统通过测量聚焦在硅片上同一点的两束激光在硅片表面反射率的变化量来计算杂质粒子的注入浓度。在该系统内,一束激光通过氩气激光器产生加热的波使硅片表面温度升高,热硅片会导致另一束氦氖激光的反射系数发生变化,这一变化量正比于硅片中由杂质粒子注入而产生的晶体缺陷点的数目。由此,测量杂质粒子浓度的热波信号探测器可以将晶格缺陷的数目与掺杂浓度等注入条件联系起来,描述离子注入工艺后薄膜内杂质的浓度数值。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " ECV设备 /span /h3 p style=" text-align: justify text-indent: 2em " ECV又名扩散浓度测试仪,结深测试仪等,即电化学CV法测扩散后的载流子浓度分布。电化学ECV可以用于太阳能电池、LED等产业,是化合物半导体材料研究或开发的主要工具之一。电化学ECV主要用于半导体材料的研究及开发,其原理是使用电化学电容-电压法来测量半导体材料的掺杂浓度分布。电化学ECV(CV-Profiler, C-V Profiler)也是分析或发展半导体光-电化学湿法蚀刻(PEC Etching)很好的选择。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 少子寿命测试仪 /span /h3 p style=" text-align: justify text-indent: 2em " 载流子寿命就是指非平衡载流子的寿命。而非平衡载流子一般也就是非平衡少数载流子(因为只有少数载流子才能注入到半导体内部、并积累起来,多数载流子即使注入进去后也就通过库仑作用而很快地消失了),所以非平衡载流子寿命也就是指非平衡少数载流子寿命,即少数载流子寿命。例如,对n型半导体,非平衡载流子寿命也就是指的是非平衡空穴的寿命。 /p p style=" text-align: justify text-indent: 2em " 少子寿命是半导体材料和器件的重要参数。它直接反映了材料的质量和器件特性。能够准确的得到这个参数,对于半导体器件制造具有重要意义。少子寿命测试仪可以直接获得长硅的质量参数。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/34.html" target=" _self" 拉曼光谱 /a /h3 p style=" text-align: justify text-indent: 2em " 拉曼光谱是一种散射光谱。拉曼光谱分析法是基于印度科学家C.V.Raman在1928年所发现的拉曼散射效应,对与入射光频率不同的散射光谱进行分析以得到分子振动、转动方面信息并应用于分子结构研究的一种分析方法。 /p p style=" text-align: justify text-indent: 2em " 拉曼光谱在材料科学中是物质结构研究的有力工具,在相组成界面、晶界等课题中可以做很多工作。半导体材料研究中,拉曼光谱可测出经离子注入后的半导体损伤分布,可测出半磁半导体的组分,外延层的质量,外延层混品的组分载流子浓度。 span style=" text-indent: 2em " & nbsp /span /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/31.html" target=" _self" 红外光谱仪 /a /h3 p style=" text-align: justify text-indent: 2em " 红外光谱仪是利用物质对不同波长的红外辐射的吸收特性,进行分子结构和化学组成分析的仪器。红外光谱仪通常由光源,单色器,探测器和计算机处理信息系统组成。根据分光装置的不同,分为色散型和干涉型。对色散型双光路光学零位平衡红外分光光度计而言,当样品吸收了一定频率的红外辐射后,分子的振动能级发生跃迁,透过的光束中相应频率的光被减弱,造成参比光路与样品光路相应辐射的强度差,从而得到所测样品的红外光谱。 /p p style=" text-align: justify text-indent: 2em " 红外光谱法操作简单,不破坏样品,使其在半导体分析的应用日趋广泛。半导体材料的红外光谱揭示了晶格吸收、杂质吸收和自由载流子吸收的情况,直接反映了半导体的许多性质,如确定红外透过率和结晶缺陷,监控外延工艺气体组分分布,测载流子浓度,测半导体薄层厚度和衬底表面质量。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 二次粒子质谱 /span /h3 p style=" text-align: justify text-indent: 2em " 二次粒子质谱是借助入射粒子的轰击功能,将样品表面原子溅出,由质谱仪测定二次粒子质量,根据质谱峰位的质量数,可以确定二次离子所属的元素和化合物,从而可精确测定表面元素的组成。这是一种常用的表面分析技术。其特点是高灵敏度和高分辨率。 /p p style=" text-align: justify text-indent: 2em " 利用二次离子质谱对掺杂元素的极高灵敏度的特点,对样品的注入条件进行分析,在生产中可以进行离子注入机台的校验,并确定新机台的可以投入生产。同时,二次离子质谱对于CVD沉积工艺的质量监控尤其是硼磷元素的分布和生长比率等方面有不可替代的作用。通过二次离子质谱结果的分析帮助CVD工程师进行生长条件的调节,确定最佳沉积工艺条件。对于杂质污染的分析,可以对样品表面结构和杂质掺杂情况进行详细了解,保证芯片的有源区的洁净生长,对器件的电性质量及可靠性起到至关重要的作用。对掺杂元素退火后的形貌分析研究发现通过改变掺杂元素的深度分布,来保证器件的电学性能达到设计要求。可以帮助LTD进行新工艺的研究对于90nm/65nm/45nm新产品开发起到很大作用。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " X射线光电子能谱仪 /span br/ /h3 p style=" text-align: justify text-indent: 2em " X射线光电子能谱仪以X射线为激发源。辐射固体表面或气体分子,将原子内壳层电子激发电离成光电子,通过分析样品发射出来的具有特征能量的光电子,进而分析样品的表面元素种类、化学状态和电荷分布等信息,是一种无损表面分析技术。 /p p style=" text-align: justify text-indent: 2em " 这种技术分析范围较宽,原则上可以分析除氢以外的所有元素,但分析深度较浅,大约在25~100 Å 范围,不过其绝对灵敏度高,测量精度可达10 nm左右,主要用于分析表面元素组成和化学状态,原子周围的电子密度,特别是原子价态及表面原子电子云和能级结构。 /p h3 style=" text-align: justify text-indent: 2em " X射线衍射 /h3 p style=" text-align: justify text-indent: 2em " 当一束单色X射线入射到晶体时,由于晶体是由原子规则排列成的晶胞组成,这些规则排列的原子间距离与入射X射线波长有X射线衍射分析相同数量级,故由不同原子散射的X射线相互干涉,在某些特殊方向上产生强X射线衍射,衍射线在空间分布的方位和强度,与晶体结构密切相关,每种晶体所产生的衍射花样都反映出该晶体内部的原子分配规律。这就是X射线衍射的基本原理。 /p p style=" text-align: justify text-indent: 2em " 半导体制造中的大部分材料是多晶材料,比如互连线和接触孔。XRD能够将多晶材料的一系列特性量化。这其中最重要的特性包括多晶相(镍单硅化物,镍二硅化物),平均晶粒大小,晶体织构,残余应力。 /p h3 style=" text-align: justify text-indent: 2em " 阴极荧光光谱 /h3 p style=" text-align: justify text-indent: 2em " 阴极荧光谱是利用电子束激发半导体样品,将价带电子激发到导带,之后由于导带能量高不稳定,被激发电子又重新跳回价带,并释放出能量E≤Eg(能隙)的特征荧光谱。CL谱是一种无损的分析方法,结合扫描电镜可提供与形貌相关的高空间分辨率光谱结果,是纳米结构和体材料的独特分析工具。利用阴极荧光谱,可以在进行表面形貌分析的同时,研究半导体材料的发光特性,尤其适合于各种半导体量子肼、量子线、量子点等纳米结构的发光性能的研究。 /p p style=" text-align: justify text-indent: 2em " 例如,对于氮化镓单晶,由于阴极萤光显微镜具有高的空间分辨率并且具有无损检测的优点,因此将其应用于位错密度的检测已经是行业内广泛采用的方法。目前也制定了相应的标准。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/1016.html" target=" _self" 轮廓仪 /a /h3 p style=" text-align: justify text-indent: 2em " 轮廓仪是一种两坐标测量仪器,仪器传感器相对被测工件表而作匀速滑行,传感器的触针感受到被测表而的几何变化,在X和Z方向分别采样,并转换成电信号,该电信号经放大和处理,再转换成数字信号储存在计算机系统的存储器中,计算机对原始表而轮廓进行数字滤波,分离掉表而粗糙度成分后再进行计算,测量结果为计算出的符介某种曲线的实际值及其离基准点的坐标,或放大的实际轮廓曲线,测量结果通过显示器输出,也可由打印机输出。 /p p style=" text-align: justify text-indent: 2em " 而利用先进的3D轮廓仪可以实现对硅晶圆的粗糙度检测、晶圆IC的轮廓检测、晶圆IC减薄后的粗糙度检测。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em font-size: 16px " AOI (自动光学检测) /span br/ /h3 p style=" text-align: justify text-indent: 2em " AOI的中文全称是自动光学检测,是基于光学原理来对焊接生产中遇到的常见缺陷进行检测的设备。AOI是新兴起的一种新型测试技术,但发展迅速,很多厂家都推出了AOI测试设备。当自动检测时,机器通过摄像头自动扫描PCB,采集图像,测试的焊点与数据库中的合格的参数进行比较,经过图像处理,检查出PCB上缺陷,并通过显示器或自动标志把缺陷显示/标示出来,供维修人员修整。 /p p style=" text-align: justify text-indent: 2em " 运用高速高精度视觉处理技术自动检测PCB板上各种不同贴装错误及焊接缺陷。PCB板的范围可从细间距高密度板到低密度大尺寸板,并可提供在线检测方案,以提高生产效率,及焊接质量。通过使用AOI作为减少缺陷的工具,在装配工艺过程的早期查找和消除错误,以实现良好的过程控制。早期发现缺陷将避免将坏板送到随后的装配阶段,AOI将减少修理成本将避免报废不可修理的电路板。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " ATE测试机 /span /h3 p style=" text-align: justify text-indent: 2em " 广义上的IC测试设备我们都称为ATE(AutomaticTest Equipment),一般由大量的测试机能集合在一起,由电脑控制来测试半导体芯片的功能性,这里面包含了软件和硬件的结合。 /p p style=" text-align: justify text-indent: 2em " 在元器件的工艺流程中,根据工艺的需要,存在着各种需要测试的环节。目的是为了筛选残次品,防止进入下一道的工序,减少下一道工序中的冗余的制造费用。这些环节需要通过各种物理参数来把握,这些参数可以是现实物理世界中的光,电,波,力学等各种参量,但是,目前大多数常见的是电子信号的居多。ATE设计工程师们要考虑的最多的,还是电子部分的参数比如,时间,相位,电压电流,等等基本的物理参数。就是电子学所说的,信号处理。 /p p style=" text-align: justify text-indent: 2em " 此外,原子力显微镜、俄歇电子能谱、电感耦合等离子体质谱仪、X光荧光分析、气相色谱等都可以用于半导体检测。而随着半导体制程工艺的进步,工艺过程中微小的沾污、晶格缺陷等都可能导致电路的失效等,半导体的工艺检测也凸显的越来越重要。 /p
  • 美国升级对量子计算/半导体设备/GAAFET出口管制
    当地时间9月5日,美国商务部工业和安全局(BIS)在《联邦公报》上发布了一项临时最终规则(IFR),升级了对量子计算、先进半导体制造、GAAFET等相关技术的出口管制。具体来说,该IFR 涵盖了:量子计算、相关组件和软件;先进的半导体制造;用于开发超级计算机和其他高端设备的高性能芯片的环绕栅极场效应晶体管 (GAAFET) 技术;以及用于制造金属或金属合金部件的增材制造工具。1、量子计算相关:随着具有更多量子位的更大型的量子计算机的开发,控制电路必须在低温恒温器内移动以减少这些延迟。目前,传统CMOS器件的一般温度下限为-40°C(233K)。CMOS设计目前正在开发中,以适用于在4K或以下温度下工作,用于量子计算。出于这些原因,BIS在CCL中添加了3A901.a,以控制3A001.a.2中未指定的CMOS集成电路,这些电路设计用于在等于或低于4.5 K(-268.65°C)的环境温度下运行。这一补充附带了一份技术说明,主要限制“低温CMOS或低温CMOS集成电路。”量子计算项目中的一个关键功能是读取非常微弱的信号的能力。为了执行该功能,量子比特和信号放大器需要冷却到非常低的温度以抑制噪声。因此,BIS在CCL中添加了3A901.b,以控制在极低温度、指定频率和噪声系数参数下工作的参数信号放大器。还添加了一个注释和一个技术注释,说明“参数信号放大器包括行波参数放大器(TWPA)”和“参数信号功放也可称为量子限幅放大器(QLA)。”根据3A901.a规定的CMOS集成电路和3A901.b规定的参数信号放大器需要获得所有目的地的许可证。此外,量子计算芯片所需的低温晶圆探测设备(3B904)也被进一步限制。低温晶圆探测器的目标是扩大基于固态量子位和其他类型量子位的量子计算。低温量子器件、电子学和探测器的发展可以从低温晶片探测器提供的更好的器件特性中受益。某些低温晶片探测器将加快被测量子比特器件的测试和表征(大容量数据的收集)。这在开发过程中提供了一个明显的优势,传统上,低温测试需要更多的时间。出于这个原因,BIS认为,这些设备需要出口管制。因此,BIS正在CCL中添加ECCN 3B904,以控制指定的低温晶片探测设备。根据国家安全控制和许可证审查政策集的规定,ECCN 3B904中指定的项目对所有目的地的NS和RS进行控制。2、GAAFET及相关针对3nm以下制程所需要采用的GAAFET,BIS在通用许可证中增加了两项授权,以补充第736部分第4号通用命令的第1项,即GAAFET出口、再出口和转让(国内)到目前与美国工业合作的实体,目的地为EAR国家组A:5或A:6中指定的目的地,以及ECCN 3E905中指定的GAAFET“技术”和“软件”的视同出口和视同再出口到已受雇于实体的外籍员工或承包商,其最近的公民身份或永久居留权是国家组中指定的目标。另外,由于美国此前已经对GAAFET设计软件进行了出口管制,因此,与GAAFET相关的制造设备此次也一并受到了限制。3、半导体设备3B001用于制造半导体器件、材料或相关设备的设备,如下(见受控物品清单)及其“特殊设计”的“组件”和“配件”:基于列表的许可证例外(有关所有许可证例外的描述,请参阅第740部分)LVS:500,3B001.a.4、c、d、f.1.b、j至p中规定的半导体制造设备除外。GBS:a.3(使用气体源的分子束外延生长设备)、c.1.a(为各向同性干法蚀刻设计或修改的设备)、c.1.c(为各向异性干法蚀刻设计和修改的设备”)、.e(仅当连接到由3B001.a.3或.f控制的设备时才自动装载多腔中央晶片处理系统)、.f(光刻设备)和.q(为集成电路设计的“EUV”掩模和掩模,未在3B001.g中指定,并具有3B001.j中指定的掩模“基板空白”)除外。IEC:3B001.c.1.a、c.1.c和.q为是,见《出口管理条例》第740.2(a)(22)条和第740.24条。STA的特殊条件STA:许可证例外STA不得用于将3B001.c.1.a、c.1.c或.q运送到国家组a:5或a:6中列出的任何目的地(见EAR第740部分补充1)。受控项目清单:相关控制:另见3B903和3B991项目:a.设计用于外延生长的设备如下:a.1.设计或改装的设备,用于在75毫米或更长的距离内生产厚度均匀小于±2.5%的硅以外的任何材料层;注:3B001.a.1包括原子层外延(ALE)设备。a.2:金属有机化学气相沉积(MOCVD)反应器,设计用于化合物半导体外延生长具有以下两种或多种元素的材料:铝、镓、铟、砷、磷、锑或氮;a.3:使用气体或固体源的分子束外延生长设备;a.4:为硅(Si)、碳掺杂硅、硅锗(SiGe)或碳掺杂SiGe外延生长并且具有以下所有特性:a.4.a.多个腔室,并在工艺步骤之间保持高真空(等于或小于0.01 Pa)或惰性环境(水和氧气分压小于0.01帕);a.4.b.至少一个预清洁室,其设计用于提供表面处理装置以清洁晶片的表面;和a.4.c.外延沉积操作温度为685°c或以下;b.设计用于离子注入的半导体晶片制造设备,具有以下任何一项:b.1:[保留]b.2:被设计和优化为在20keV或更高的束能量和10mA或更大的束电流下工作,用于氢、氘或氦注入;b.3:直接写入能力;b.4:用于将高能氧注入加热的半导体材料“基板”的65keV或更高的束能量和45mA或更高束电流;或b.5:被设计和优化为在20keV或更高的束能和10mA或更大的束流下工作,用于将硅注入加热到600˚C或更高温度的半导体材料“基板”;c.蚀刻设备:c.1:设计用于干法蚀刻的设备如下:c.1.a.为各向同性干法蚀刻而设计或修改的设备,其最大“硅锗对硅(SiGe:Si)蚀刻选择性”大于或等于100:1;或c.1.b.为介电材料的各向异性蚀刻而设计或修改的设备,能够制造纵横比大于30:1、顶面横向尺寸小于100nm的高纵横比特征,并具有以下所有特征:c.1.b.1:具有至少一个脉冲RF输出的射频(RF)电源;和c.1.b.2:一个或多个切换时间小于300毫秒的快速气体切换阀;或c.1.c:为各向异性干法蚀刻而设计或修改的设备,具有以下所有特征;c.1.c.1:具有至少一个脉冲RF输出的射频(RF)电源;c.1.c.2:一个或多个切换时间小于300毫秒的快速气体切换阀;和c.1.c.3:带有二十个或更多可单独控制的可变温度元件的静电卡盘;c.2:设计用于湿化学处理的设备,其最大“硅锗对硅(SiGe:Si)蚀刻选择性”大于或等于100:1;注1:3B001.c包括“自由基”、离子、顺序反应或非顺序反应的蚀刻。注2:3B001.c.1.c包括使用RF脉冲激发等离子体、脉冲占空比激发等离子体、电极上的脉冲电压修饰等离子体、与等离子体结合的气体循环注入和净化、等离子体原子层蚀刻或等离子体准原子层蚀刻的蚀刻。4、增材制造设备(i.ECCN 2B910)BIS对ECCN 2D910和2E910中增材制造设备(2B910)的“技术”和“软件”的外国人实施视同出口和再出口管制。美国工业和安全局副部长艾伦埃斯特维兹在一份声明中表示:“今天的行动确保我们的国家出口管制与迅速发展的技术保持同步,并且在与国际伙伴合作时更加有效。”“协调我们对量子和其他先进技术的控制,将使我们的对手更难以以威胁我们集体安全的方式开发和部署这些技术。”有什么改变?该规则在商务管制清单中增加了新的出口管制分类编号(ECCN),涵盖一般产品类别和能力,而不是特定产品。这基本上意味着,如果你想从美国出口某些类型的产品(已列入或已添加到管制清单的产品),你可能需要获得美国政府的许可。这让美国有能力限制向某些国家出口某些类型的技术。例如,管制清单上的新 ECCN B910 指定了与合金制造相关的套件,因为这些物质用于生产导弹、飞机和推进系统的零件。另一个新的 ECCN 是“3A904 低温冷却系统和组件”,重点关注“与研究具有大量物理量子比特的量子系统相关的项目”。此外,还有在ECCN 3E905中对GAAFET增加了两项授权要求。这些规则增加了 18 个 ECCN,并更新了 9 个现有 ECCN。这使美国能够与其他国家保持步调一致,主要限制向俄罗斯和伊朗等国输送装备。2023年美国国会研究服务处报告指出,与其他政府协调出口管制对于确保此类努力取得成效至关重要。该报告称:“协调对于旨在阻止或延迟外国采购某些商品或技术的政策的有效性至关重要。如果商品或技术很容易从外国获得,这种控制措施的效果可能会降低。”例如,在数年之前美国主要通过将一些企业列入“实体名单”进行限制。然而,美国随后认识到,在没有国际合作伙伴的协调下,这一举措收效有限。因此,美国商务部工业和安全局于2022年10月宣布新的出口管制措施,旨在遏制中国获取先进半导体技术。随后,在2023 年,美国、日本和荷兰这三个领先的芯片制造国同意协调努力,阻止中国获得先进的芯片技术。BIS最新出口管制似乎是加强与盟友合作的进一步例子。美国商务部负责出口管理的助理部长西娅罗兹曼肯德勒 (Thea D. Rozman Kendler) 在一份声明中表示:“保护我们国家安全的最有效方式是与志同道合的合作伙伴一起制定和协调我们的管控措施,今天的行动表明了我们在制定此类管控措施以实现国家安全目标方面的灵活性。”她还补充说,值得信赖的合作伙伴可以享受许可豁免。 内容转自:旺材芯片,本文所用视频、图片、文字如涉及作品版权问题,请联系小编进行处理。谢谢!联系我们 -欢迎前来咨询 竭诚为您服务-上海市高新技术企业上海市专精特新企业完善的半导体领域微纳米实验室测试方案集成商
  • 全国半导体真空科学仪器暨第三届锑化物半导体技术大会召开
    7月28至30日,全国半导体真空科学仪器暨第三届全国锑化物半导体与光机电系统集成大会在山西省晋城召开。本届大会在国家自然科学基金委、科技部高技术中心、山西省政府的共同指导下召开;大会还得到山西省晋城市委、市城府的大力支持。  本次会议是继2019年第二届“全国锑化物半导体技术创新与应用发展”大会后的第三届会议,由中国科学院半导体研究所牛智川研究员团队发起。  沈学础、陈良惠、范守善、祝世宁、雒建斌、刘明、彭练矛、张跃等学者到场,与各位参会专家、地方领导、企商代表就锑化物半导体基础材料、光电器件、系统集成技术、半导体真空科学仪器进行了深入交流;对相关领域的技术迭代、发展路径、现实困境等问题进行了全方位探讨。  学者代表认为:锑化物半导体材料经过近些年的迅猛发展,已抢占下一代半导体器件技术的发展先机,为红外光电器件技术体系变革提供了新的战略方向;半导体真空科学仪器设备自主制造迎来重大机遇。  自二十一世纪初,锑化物半导体纳米低维结构外延材料技术实现重大突破,促使红外光电器件技术迅猛发展。对比传统红外光电材料,锑化物低维结构材料可以覆盖大部分红外谱段,芯片制造技术适用于先进的III-V族半导体制造平台技术,使得光电器件技术性能,特别是红外焦平面探测器技术实现前所未有的突破。  短短数十年,锑化物半导体光电材料和器件技术“已经走出实验室”,为空间遥感、激光与卫星通信、危化品及环境监测检测、成像制导夜视、生物医学诊断等高端光电系统或装备,提供高性能核心器件技术支撑。  由此,提速国产化进程,实现半导体设备的自主创新、国产替代;构建完整的半导体产业链、形成良性生态,已经成为中国半导体行业的普遍共识。  目前,中国在半导体科技研究领域涉及面广,科研水平日益提高,部分领域已与世界水平持平;科研投入量大,在规模上称得上是半导体大国,但仍存在软件工具、硬件设备对外依赖,成果转化与产业应用脱节等问题。唯有协同努力,开拓半导体科技创新发展之路,全面提振内在实力,才能逐步摆脱封锁,享有国际话语权。
  • 德国元素 | 新能源汽车行业车用半导体中碳硫氧氮的测定
    近年来有关第三代半导体的市场题材相当多,其中最令人瞩目者,当属碳化硅(SiC)功率器件在电动汽车的应用商机了。碳化硅器件在电动汽车的系统应用主要是逆变器、车载充电器(OBC)和DC-DC转换器等。相较传统的硅基模块性能,其可减少约50%电能转换损耗、降低20%的电源转换系统成本,并能提升电动汽车4%左右续航能力。目前电动汽车技术在世界各国净零碳排放政策强力带动下,已成为未来10年全球汽车产业的发展重点,许多大厂争相投入此领域布局。特斯拉,通用汽车,雷诺日产等公司都积极在碳化硅研发领域布局,建立对应的研发中心。碳化硅是一种无机碳化物,化学式为 SiC,是用石英砂、石油焦(或煤 焦)、木屑(生产绿色碳化硅时需要加食盐)等原料通过电阻炉高温 冶炼而成。碳化硅在大自然也存在罕见的矿物,莫桑石。在 C、N、B 等非氧化物高技术耐火原料中,碳化硅为应用最广泛、最经济的一 种,可以称为金钢砂或耐火砂。碳化硅是由科学及艾奇逊在 1891 年电熔金刚石实验时,在实验室 偶然发现的一种碳化物,刚开始被认为是金刚石的混合体,故取名 金刚砂,1893 年艾奇逊研究出来了工业冶炼碳化硅的方法,也就是 大家常说的艾奇逊炉,一直沿用至今,以碳质材料为炉芯体的电阻 炉,通电加热石英 SiO2 和碳的混合物生成碳化硅。碳化硅中碳硫氧氮的含量对于器本身的晶体结构,以及相关性能影响极大。这里使用了来自德国元素Elementar的inductar CS cube 红外碳硫仪以及inductar ONH cube 氧氮氢分析仪对于碳化硅样品中碳硫氧氮的含量进行测量。实验部分inductar CS cube 红外碳硫仪:碳化硅粉末中碳硫的测定inductar CS cube 红外碳硫仪:碳化硅负极材料中碳硫的测定inductar ONH cube 氧氮氢分析仪:纯碳化硅粉末中氧氮的分析inductar CS cube 红外碳硫仪应用领域:黑色系金属合金,有色金属,有色金属,碳化物及陶瓷材料,地质矿物,电极材料的碳硫分析。特点:创新性坩埚设计,无需动力气清洁型燃烧(低灰尘和尘屑),无需外接吸尘器加热的除尘过滤器,配备了高效的风冷水冷装置可自由程序变化输出功率的感应炉 可自由程序变化的注氧流速燃烧过程可由光学摄像系统观察专利球夹设计,实现免工具维护inductar ONH cube 氧氮氢分析仪应用领域:黑色系金属合金,有色金属,有色金属,碳化物及陶瓷材料,地质矿物,氧氮氢分析。特点:无需配备石墨电极清扫刷进行清扫,提高做样效率可编程气体分流,通过睡眠模式进入省气模式无需配备动力气以及外置水冷机,可单坩埚完成测试,节省成本专利的球夹连接,实现免工具维护
  • 半导体设备企业盛吉盛半导体武汉项目签约
    据武汉经开区消息,6月19日,“携手长三角 共构新格局”2023武汉招商引资推介大会在上海举办。此次签约的项目涵盖智能网联汽车、集成电路、汽车及零部件和检验检测等领域。其中,签约项目包括盛吉盛半导体武汉项目。资料显示,盛吉盛(宁波)半导体科技有限公司致力于推进半导体设备和关键零部件国产化,核心业务包括国产设备研发及生产、零部件与服务、半导体设备升级优化三大板块。
  • 四川丽豪半导体一期项目启动 国产半导体设备有望加速崛起
    据宜宾日报消息,四川丽豪半导体一期项目启动活动在四川宜宾珙县经开区举行。四川丽豪半导体一期项目总投资约110亿元,其中固定资产投资90亿元,将建设年产10万吨光伏级高纯晶硅+2000吨电子级晶硅生产线,预计2024年8月竣工投运。当前全球半导体销售仍处于下行周期,2023年1月中国半导体市场销售额为117亿美元,同比下降32%。从上一轮中国半导体销售数据来看,下行周期时间约为1.5~2年。考虑到本轮下行周期从2021年底开始,因此中国半导体销售增速或将于2023年二季度左右触底。机构指出,受益于高性能计算和汽车领域强劲的半导体需求,全球半导体设备市场预计在2024年恢复较好增长。据财联社主题库显示,相关上市公司中:盛美上海已形成“清洗+电镀+先进封装湿法+立式炉管+涂胶显影+PECVD”的六大类业务版图,2022年全年业绩高增长,在手订单充沛,长期受益半导体应用市场需求增长。华海清科CMP设备已累计出货超140台,设备已广泛应用于中芯国际、长江存储、华虹集团、英特尔、长鑫存储等国内外先进集成电路制造商的大生产线中。
  • 半导体情报,科学家首次开发射频毫米波段的高性能忆阻器!
    【科学背景】记忆电阻器(memristor)是一种能够在电气应力作用下实现两个或多个非易失性电阻状态的设备,近年来被提出用于解决射频开关的挑战。这种效应最早在1960年代的硒铋合金中被报道,随后在包括金属氧化物和二维层状材料在内的许多其他材料中得到观察。通过改变材料的原子或电子结构,memristor能够实现不同的电阻状态,如高电阻态(HRS)和低电阻态(LRS)。尽管最初主要用于存储应用,memristor目前被提议用于数据加密、能效数据计算(如实现向量矩阵乘法引擎和人工神经网络的电子神经元)、以及射频数据传输开关等领域。针对射频应用,memristor的主要优势在于其非易失性,无需额外能量来维持其导电状态,与传统的p-i-n二极管开关相比,后者需要大量直流电流来维持其状态。最新的memristor技术基于相变材料,如GeTe或GeSbTe,通过控制加热器来实现晶态和非晶态之间的转变,从而切换设备的HRS和LRS。这些设备在50 GHz的频率下已有工业展示,并且在学术演示中能够达到高达109个循环的耐久性,但其集成到大型电路中需要精细的热设计,并可能引入不需要的寄生电容。针对RF mmWave应用的多层hBN memristor的开发,沙特阿卜杜拉国王科技大学Mario Lanza教授团队通过使用不同的电极材料展示了多个设备的射频性能和一致性行为。通过一种增强导电性的方法,作者成功地实现了RLRS低于10 Ω(最低可达4.5 Ω),并展示了2,000个循环的耐久性。作者的设备在高达260 GHz的频率下表现出低于2 dB的损耗和超过30 dB的隔离度,从等效电路模型中提取的截止频率为7 THz。此外,作者还报告了在120 GHz时串-并联配置中超过35 dB的隔离度的射频mmWave开关电路。【科学亮点】(1)首次开发了适用于射频毫米波(mmWave)应用的多层氮化硼(hBN)记忆电阻器。这些电阻器展示了在高达260 GHz频率下的射频性能,并通过不同电极材料验证了其稳定的行为。(2)通过采用一种新型的导电性增强方法,成功实现了低于10 Ω的低阻态电阻(RLRS),最低可达4.5 Ω。这种方法使得设备能够经受2,000个循环的使用测试,表现出良好的耐久性。(3)射频性能方面,这些设备展示了在频率高达260 GHz时低于2 dB的插入损耗和超过30 dB的隔离度。通过等效电路模型分析,提取的截止频率高达7 THz,显示出在超高频领域的潜力。(4)作者还报道了在120 GHz时串-并联配置中超过35 dB的隔离度的射频mmWave开关电路,突显了这些记忆电阻器在复杂电路中的实际应用潜力。【科学图文】图1: Au-hBN-Au器件的物理和直流特性。图2:通过脉冲写入-验证协议增强射频RF应用的低阻态low-resistance state,LRS性能。图3:Au–多层hBN–Au开关的毫米波性能。【科学结论】本文开发了多层氮化硼记忆电阻器作为射频毫米波应用中的关键组件。传统射频开关技术在高频率(如120 GHz)下存在插入损耗和隔离度方面的限制,而本研究通过精确控制低阻态电阻,利用脉冲偏置协议实现了9.3 ± 3.7 Ω的优异性能。这种方法不仅提高了射频开关的操作频率,还显著降低了信号传输过程中的能量损失。此外,作者展示了在21个设备中一致的双极性切换特性,证明了多层氮化硼在记忆电阻器中的可靠性和稳定性。这些成果不仅推动了射频毫米波技术的前沿,还为未来高速数据传输、增强现实和物联网等应用领域提供了新的解决方案。通过这些研究,作者不仅拓展了记忆电阻器在射频领域的应用潜力,还为探索更高频率、更低能耗的射频开关提供了有力支持。原文详情:Pazos, S., Shen, Y., Zhang, H. et al. Memristive circuits based on multilayer hexagonal boron nitride for millimetre-wave radiofrequency applications. Nat Electron (2024). https://doi.org/10.1038/s41928-024-01192-2
  • 沉痛悼念!我国著名半导体材料学家梁骏吾院士逝世
    2022年6月23日,中科院半导体所发布讣告:中国工程院院士、中国科学院半导体研究所研究员、我国著名半导体材料学家梁骏吾先生因病医治无效,不幸于2022年6月23日17时在北京逝世,享年89岁。梁骏吾院士,1933年9月18日生于湖北武汉。1955年毕业于武汉大学,1956年至1960年就读于前苏联科学院莫斯科巴依可夫冶金研究所并获得副博士学位,同年到中国科学院半导体研究所工作至今。60多年来,他为我国半导体材料领域的学科建设、技术创新、产业振兴以及人才培养作出了重要贡献。梁骏吾院士先后荣获国家科委科技成果二等奖和新产品二等奖各1次,国家科技进步三等奖1次、中科院重大成果和科技进步一等奖3次、二等奖4次,上海市科技进步二等奖1次等各种科技奖共20余次。1997年当选中国工程院院士。梁骏吾院士在半导体材料科学领域辛勤耕耘、造诣颇深,并取得了一系列重要科研成果。上世纪60年代解决了高纯区熔硅的关键技术。1964年制备出室温激光器用GaAs液相外延材料。1979年研制成功为大规模集成电路用的无位错、无旋涡、低微缺陷、低碳、可控氧量的优质硅区熔单晶。80年代首创了掺氮中子嬗变硅单晶,解决了硅片的完整性和均匀性的问题。90年代初研究MOCVD生长超晶格量子阱材料,在晶体完整性、电学性能和超晶格结构控制方面,将中国超晶格量子阱材料推进到实用水平。主持“七五”、“八五”重点硅外延攻关,完成了微机控制、光加热、低压硅外延材料生长和设备的研究。他还在太阳电池用多晶硅的研究和产业化等方面发挥着积极作用。
  • 3.15-3.17中国半导体展览会,普发展位N5 No.5555
    A4 系列干泵HiPace 2800 IT 涡轮分子泵磁悬浮轴承涡轮泵分子泵 ATH-M 系列?阿斯拉尔,德国,2016 年 1 月 18 日。全球领先的真空技术供应商普发真空将参加 1 月 27日至 29 日在首尔举办的韩国半导体展览会 (Semicon Korea) 以及 3 月 15 日至 17 日在上海举办的中国半导体展览会 (Semicon China)。展位参观者可以与来自普发真空的专家们就创新的真空解决方案进行交流讨论。 “我们很高兴能在韩国半导体展览会 (Semicon Korea) 和中国半导体展览会 (Semicon China)上介绍重要的全新的真空解决方案。在日益变化的竞争环境中,根基扎实的普发真空处于最佳的状况,以便能够作为长期及可靠的真空技术全系列供应商行动起来,为全球的所有客户提供服务”,普发真空半导体和真空镀膜事业部总经理 Eric Taberlet如是说。 现场展示产品A4 系列干泵无油多级罗茨泵 A4 系列具有 100 至 2300 m3/h 的抽吸能力。这种高能效和可靠的泵非常适合应用于半导体和真空镀膜行业要求严苛的工艺过程中。例如,得益于耐腐蚀材料以及高气流量,该系列泵非常适合在 CVD 工艺过程中应用.HiPace 2800 IT 涡轮分子泵HiPace 2800 IT 涡轮分子泵是普发真空产品中一款专门适合应用于离子注入的涡轮分子泵。涡轮分子泵成熟的转子设计保证了对小分子气体最佳的抽吸能力。由此确保了主要产生氢气的离子注入工艺过程中的极好的匹配。凭借 2,750 l/s 的氢气抽吸能力,新型 HiPace 2800 IT 涡轮分子泵成为同级别产品中领先的涡轮分子泵。智能温控管理系统防止泵系统中的冷凝和沉积,并允许单独的温度设置,以提供最佳的工艺支持。特殊涂层处理的转子确保其能抵抗离子注入工艺中产生的腐蚀性介质。得益于复合轴承的设计,前级真空侧的陶瓷球轴承与高真空侧的永磁径向轴承的组合,HiPace 系列涡轮分子泵具有着极为坚固的轴承结构。这些特性使得我们的泵使用寿命和最大限度的得以提高。磁悬浮涡轮分子泵 ATH 2804 M 和 ATH 3204 MATH-M 涡轮泵可以达到在未加热应用中超过 5000 sccm 的氮气的气流量。此外,它们还提供1,500 sccm 的氩气的高气流量以及在腐蚀应用中 65 °C 的泵运行温度。凭借最高达 85 °C 的温度,该类泵可投入使用于副产品沉积十分关键的高度腐蚀性应用或敏感的工艺过程中。它们配备了集成驱动电子装置,因而占地面积小,并且即插即用,易于安装。带有 DN 320 法兰的型号的总高度不超过 400 mm。得益于新的电子装置,该类泵可在 8 分钟内启动和停止。主动式磁轴承确保泵的无磨损运转并通过自动不平衡补偿来确保泵的低振动运转。它们无需维护,并且不需要润滑剂润滑。从而保证了转子的持续稳定性以及运行的可靠性。而且,新型 ATHM泵还具备额定转速下低能耗和非常少的冷却水消耗量(1°l/min)的特征。中国半导体展览会 (Semicon China) 地址:新国际博览中心上海,中国展位 5555,展厅 N5
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制