当前位置: 仪器信息网 > 行业主题 > >

半导体制冷器

仪器信息网半导体制冷器专题为您提供2024年最新半导体制冷器价格报价、厂家品牌的相关信息, 包括半导体制冷器参数、型号等,不管是国产,还是进口品牌的半导体制冷器您都可以在这里找到。 除此之外,仪器信息网还免费为您整合半导体制冷器相关的耗材配件、试剂标物,还有半导体制冷器相关的最新资讯、资料,以及半导体制冷器相关的解决方案。

半导体制冷器相关的资讯

  • 显微镜用半导体制冷C接USB3.0 CCD相机-广州明慧
    MH系列双级半导体深度制冷温度可控C接口USB3.0 CCD相机显微镜制冷CCD相机MHC600-MC基本特性:MHC600-MC制冷ccd相机搭载了Sony ExView HAD CCD或HAD CCD等高性能图像传感器,针对传感器固有的热噪声,专门设计了高效制冷模块使得相机传感器的工作温度比环境温度低达-50度。针对低温结雾现象,设计了防结雾结构,确保传感器表面在低温情况下不会防结雾。MHC600-MC制冷ccd相机这一独有技术大大降低了图像噪声,保证了图像质量的获取。显微镜制冷CCD相机MHC600-MC的优势:基于SONY CCD传感器的科学级专业相机;双级专业设计的高性能TE冷却结构,结构灵巧,散热速度快;温度任意可控,超低噪声,最高达50度温度降幅 精巧防结雾结构,确保传感器在超低温度情况下传感器表面不会结雾;高速USB3.0接口,传输速度高达5Gbits/s,实现快速预览 Ultra-Fine颜色处理引擎,实现完美颜色再现能力;相机附送高级图像处理软件以实现对相机的控制与捕获图像的处理。软件触发或外部触发,支持视频同软件/硬件触发模式捕获单帧或多帧图像;支持长达1小时的精准曝光控制技术;IR-CUT双AR膜保护玻璃(可选);随相机提供高级视频与图像处理应用软件 提供Windows/Linux/macOS/Android多平台标准SDK。显微镜制冷CCD相机MHC600-MC可用于弱光或荧光图像的拍摄,其主要应用有:明场显微镜;暗场,微分干涉 (DIC) 显微镜;活体细胞成像,细胞或组织病理学检测,细胞学;缺陷分析,半导体检测,精密测量;微光荧光成像,GFP 或 RFP 分析,荧光原位杂交(FISH);荧光共振能量转移显微镜,全内反射荧光显微镜,实时共聚焦显微镜,失效性分析,天体照相。
  • 汗诺制冷型干式恒温器厂家直销
    上海汗诺仪器有限公司专业生产恒温金属浴,制冷型金属浴现货供应厂家直销,欢迎选购 www.hanuo.cn 18621653239 薄利明 产品简介 HNDTC-100 干式恒温器(制冷型) 价格:7200元 是采用微电脑控制和半导体制冷技术制造的一款恒温金属浴产品,仪器可配置多种模块,可广泛应用于样品的保存、各种酶的保存和反应、核酸和蛋白质的变性处理、PCR 反应、电泳的预变性和血清凝固等。 产品特点 1.即时温度显示、时间递减显示; 2.强大的可编程功能实行多点温度点的控制,最多达5个温度点的温度和恒温时间的设置及连续运行 3.自动故障检测及蜂鸣器报警功能; 4.温度偏差校准功能; 5.便捷的模块更换,便于清洁与消毒; 6.内置超温保护装置; 7.液晶屏显示,按键开关。 性能指标 1.控温范围:-10℃~100℃; 2.升温时间:&le 15Din (从20℃升至100℃); 3.降温时间:&le 20Din室温-25℃,(环境温度为30℃下检测); &le 30Din (室温-30℃),(环境温度为25℃下检测); 4.温度稳定性@100℃:&le ± 0.5℃; 5.模块最大温差@40℃:0.3℃; 6.模块温度均匀性:&le ± 0.3℃; 7.显示精度:0.1℃; 8.时间设置最长:99h59Din; 9.最高温度:100℃; 10.模块型号选择:参见DTC-100系列可更换模块。 1.最大功率150W; 2.外形尺寸:270x190x170 DD; 3.净重:2.2Kg。 HNDTC-100系列可更换模块 型号 孔径及试管数 最高温度 备注 A 96× 0.2Ml标准板 100℃ B 54× 0.5Ml离心管 100℃ C 35× 1.5Ml离心管 100℃ D 35× 2.0Ml离心管 100℃ E 15× 0.5Ml+20× 1.5Ml离心管 100℃ F 24× 直径&le &phi 12DD试管 100℃ G 32× 0.2Ml+25× 1.5Ml离心管 100℃ H 32× 0.2Ml+10× 0.5Ml+15× 1.5Ml离心管 100℃ I 103× 67× 30 (方槽模块) 100℃ J 96× 0.2Ml酶标板 (平底) 100℃ K 可订做 100℃
  • 雪景科技推出全球首款无需制冷剂的商业化热调制器
    全二维气相色谱(comprehensive two-dimensional GC, or GC×GC)作为一种全新的色谱分离手段,具有分离能力强,峰容量大,定性有规律等优点。目前已经开始应用在石油化工、环境监测、天然产物分析、食品卫生、生物医药等行业,是复杂样品和痕量样品分析的强大武器。全二维色谱最核心的部件调制器可分为气流式调制器(flow modulator)和热调制器(thermal modulator)。相比气流式调制器,热调制器调制性能更加优异,而且可以直接连接质谱,是当前最主流的调制技术。市场上的热调制器普遍采用气流喷射调制方式,利用液氮或压缩空气以及热空气对色谱炉膛内的调制色谱柱进行冷却和加热,附属设备较多,运行和维护费用较高。加上居高不下的系统价格,使全二维气相色谱技术目前仅限于一些高端实验室和较前沿的科研应用,难以向广大中低端用户和常规检测普及。  雪景科技经过多年的研发,成功推出了全球首款采用半导体制冷元件的商业化固态热调制器(SSM),使全二维气相色谱(GC×GC)彻底摆脱了液氮和其他制冷剂的使用。独特的机械和热管理设计保证了产品与目前主流热调制器相当的调制性能。其小巧的结构和方便的操作极大地简化了GC×GC技术的使用难度和运营成本。由于采用了模块化设计,用户可以方便地将该调制器安装到任意气相色谱平台上,配合专业的全二维色谱数据处理软件,将常规的一维气相色谱升级成全二维气相色谱系统,极大提高现有系统对复杂样品的分析能力。另外,由于该热调制器体积小巧能耗低,可以和其他在线式或者便携式色谱进行联用甚至集成,第一次实现全二维气相色谱在在线监测和野外分析中的应用,为我国日益增长的环境、食品和化工检测需求提供一种全新的技术手段。固态热调制器  雪景科技是一家致力于推广和普及全二维气相色谱技术的公司。主要产品包括全二维气相色谱调制器、全二维色谱数据处理软件、以及全二维气相色谱系统构建和维护、应用解决方案和技术支持等。全二维气相色谱系统
  • 一文了解|制冷型和非制冷型红外探测器的区别
    红外探测器是一种能够探测红外辐射的设备,主要由探测元件和信号处理电路组成。根据其工作原理的不同,红外探测器可以分为制冷型和非制冷型两种类型。本文将详细介绍制冷型红外探测器和非制冷型红外探测器的原理、特性、区别、应用场景等。制冷型红外探测器【原理】制冷型红外探测器采用红外辐射的吸收来产生电信号,其探测元件是一种特殊的半导体材料,例如氧化汞、锑化铟等。当红外辐射照射到探测元件上时,将会激发探测元件中的载流子,进而产生电信号。但由于载流子的寿命非常短,为了保证探测器的灵敏度和响应速度,需要将探测元件制冷至低温,通常为77K。这种制冷技术通常采用制冷剂制冷的方法,例如液氮和制冷机等。【特性】制冷型红外探测器具有高灵敏度、高分辨率、高响应速度和宽波段响应等特点。由于探测元件的制冷温度非常低,因此可以有效减少热噪声的影响,提高探测器的灵敏度和分辨率。同时,制冷型红外探测器具有极高的响应速度,可以实现高速实时探测,非常适合于远距离监测、目标跟踪等应用场景。【应用场景】制冷型红外探测器广泛应用于远距离监测、目标跟踪、导弹导航、航空、航天、军事侦察、安防监控等领域。例如,制冷型红外探测器可以用于导弹的制导和跟踪,对于高速飞行的目标,需要具备高灵敏度和高响应速度,这正是制冷型红外探测器的优势所在。此外,制冷型红外探测器还可以用于医学诊断和科学研究等领域,例如在医学诊断中,可以通过制冷型红外探测器来检测人体的体表温度分布,从而诊断疾病。非制冷型红外探测器【原理】非制冷型红外探测器采用红外辐射的吸收来产生电信号,其探测元件通常是一种半导体材料,例如硅和锗等。当红外辐射照射到探测元件上时,将会激发探测元件中的载流子,进而产生电信号。由于探测元件的电阻随温度的变化而变化,因此可以通过测量探测元件的电阻来实现对红外辐射的探测。【特性】非制冷型红外探测器具有体积小、重量轻、价格低廉等特点,相较于制冷型红外探测器来说,更加便于制造和使用。同时,非制冷型红外探测器还具有响应速度快、适用于宽波段的特点,因此在一些特定的应用场景中具有优势。【应用场景】非制冷型红外探测器广泛应用于热成像、火灾报警、工业检测、安防监控等领域。例如,在热成像领域,非制冷型红外探测器可以用于检测建筑物和设备的热分布,从而提高能源利用效率和安全性。此外,非制冷型红外探测器还可以用于火灾报警,可以及时发现火灾并进行报警处理。在工业检测中,非制冷型红外探测器可以检测工业设备的异常热量,从而及时发现设备故障。在安防监控领域,非制冷型红外探测器可以用于监测人员和车辆等移动目标的热分布,从而提高监控的精度和准确性。区别【灵敏度与精度】制冷型红外探测器由于配备了制冷机组件,可以使红外探测器工作温度降低到很低的水平,从而提高了灵敏度,并具备更高的测量精度,能够实现更高的信号检测和分辨能力【工作波长】制冷式红外热像仪是敏感型红外热成像仪,可探测物体间细微的温差,它们工作在光谱短波红外(SWIR)波段、中波红外(MWIR)波段和长波红外(LWIR)波段。因为从物理学角度来讲在这些波段热对比度较高,热对比度越高就越容易探到那些目标湿度与背景差异不大的场景。非制冷型红外热像仪光谱集中在长波红外(LWIR)波段,8~14um范围。【使用功耗】制冷型红外探测器需要通过制冷机维持较低的工作温度,这个制冷系统通常需要耗费较高的电能来驱动。所以,相对于非制冷红外探测器,制冷型红外探测器的功耗一般较高。【应用】制冷型红外探测器通常具有更高的灵敏度和分辨率,适用于需求更高性能的应用场景,例如远距离探测系统等、科学研究等。非制冷型红外探测器虽然相对于制冷型红外探测器性能较低,但价格更经济实惠,适用于安防监控、消防救援、无人机载荷、户外观测等领域。举例说明以非制冷型红外探测器在安防监控领域的应用为例,一些商业场所需要进行24小时的监控,以确保安全。在这种情况下,非制冷型红外探测器可以用于监测人员和车辆等目标的热分布,从而提高监控的精度和准确性。例如,在停车场的监控中,可以通过非制冷型红外探测器来检测停车位上是否有车辆,以及车辆的数量和位置。当检测到停车位上有车辆时,就可以向管理人员发送相应的通知,以便及时采取措施维护停车场的秩序和安全。另外,非制冷型红外探测器还可以用于火灾报警。在一些需要保持高温的场所,例如电力设施、化工厂等,火灾的风险较高。这些场所可以使用非制冷型红外探测器来监测设备的温度,一旦检测到异常温度变化,就可以及时发出火灾报警信号,通知相关部门进行应急处理。综上所述,红外探测器作为一种重要的光学传感器,在热成像、安防监控、工业检测、医学诊断等领域中发挥着重要作用。制冷型红外探测器和非制冷型红外探测器各有优缺点,在不同的应用场景中都有广泛的应用前景。
  • CO2环保制冷剂在欧盟F-gas新规中的应用
    CO2环保制冷剂在欧盟F-gas新规中的应用 ——Memmert新环保制冷系列产品发布 Memmert在ACHEMA2018上推出了采用CO2(R744)作为制冷剂的系列产品:ICHeco 与ICPeco,这是两个新系列不但是环境友好型,而且,跟温室气体制冷箱体更加高效。 CO2制冷剂气候中性 伴随着合成制冷剂的淘汰进程推进,Memmert正在扩充其环境友好型温控箱体的范围,在此之前数十年间Memmert已经依托Peltier技术推出了无需制冷剂的HPP环境测试箱及IPP/IPS低温培养箱,并逐渐形成完整的产品系列。 GWP值(全球变暖潜能)被用来衡量废气对地面附近大气层变暖(温室效应)的影响程度大小,Memmert ICHeco/ICPeco系列立即使用的制冷剂CO2(R744)的GWP值仅为1,因此实际上是气候中性的。 相比之下,制冷剂R134a的GWP高达1430,以100年为跨度考察,在其排放到空气中引起的温室效应是CO2的1430倍。此外,R744不含氯,既不可燃,也无毒,不会造成臭氧层变薄,也不需要处理或回收。这是工业过程的副产品,这就是生产所消耗能源要远比合成含氟制冷剂要少的多的原因所在。欧盟含F气体F-gas法规促使转变 欧盟关于含氟气体F-gas气体新法规旨在到2050年将含氟温室气体的排放量比1990年削减90%。措施包括逐步减少交易量和颁布销售禁令。例如,从2022年1月1日起禁售GWP大于150的商用冰箱制冷剂。“Memmert第一时间做出了反应,以下几个原因,”Memmert研发部门负责人Stefan Kaufmann解释说。“一方面,我们的新款环保箱体有利于改善客户的环境资产负债表,另一方面,它们实际上是免维护的,并且在改善制冷效能方面表现突出。“ICH750eco对比的测量实验结果,显示其平均温升速率快出20%(22℃环境温度)。 ICHeco与ICPeco这两个系列产品还装配有业已验证有效的空气夹套系统。封闭的夹套系统拥有许多优点,适应范围广,可供温湿度环境模拟用。 关于美墨尔特(Memmert)全球领先的温控箱体领导品牌德国美墨尔特(Memmert)成立于1933年。近九十年来,美墨尔特一直致力于精确温控箱体的研发和生产,并引领箱体的发展方向与潮流。公司同时拥有悠久的半导体控温技术(Peltier)经验,为仅有的全系列半导体技术温控箱体制造商。产品包括二氧化碳培养箱、恒温恒湿箱、光照培养箱、低温培养箱、环境测试箱、真空烘箱、通用烘箱、灭菌箱、生化培养箱、超低温冰箱、至尊水浴油浴等。2010年9月11日,德国美墨尔特(Memmert)大中华区全资子公司——美墨尔特(上海)贸易有限公司在上海成立,现在北京、南京及广州设有代表处。“至尊品质,追求卓越,永不妥协”!
  • 日媒:7月23日起将实施先进半导体制造设备等23项商品出口限制
    日本经济产业省(经产相)5月23日公布了《关于根据出口贸易管理令附表第一及外汇令附表的规定修改部分规定货物或技术的省令等的修改概要》的文件。根据外汇及外国贸易法(外汇法)对货物等省令进行了修改,正式决定将至今为止要求公开评论的尖端半导体制造装置等23个品种添加到出口管理的限制对象中。经过两个月的周知期,将于2023年7月23日施行。日本根据外汇法,限制武器等面向军事能转用的民生品的出口,并且增加了尖端半导体制造相关的23品种。出口需要经产省事先的许可,但如果判断为有面向军事的危险,预计不会得到许可。经济产业省虽然说没有将特定的国家和地区指定为限制对象,但是追加的23个品种除了面向友好国家等42个国家和地区以外,需要个别许可,实际上对不包含在其中的中国的出口是极其困难的。新成为出口管理对象的23个品种(来源:经济产业省)中国商务部就日本的出口限制表示:“破坏日中两国的经贸合作关系以及两国的企业利益,影响产业供应链的稳定。中国有权采取应对措施,保护国家的正当权益。”这是一种不惜对日本采取报复措施的架势。中国的半导体业界认为,经济产业省此次强化限制,可能会比美国的半导体出口限制更大的打击,有可能强化EUV曝光装置等半导体制造装置的国产化措施。经产省在2019年对韩国的半导体素材出口手续严格化的时候,在韩国的EUV抗蚀剂和氟化氢的国产化或者日美企业的引进前进了的事在半导体业界中也引人注目,不过,可以说与那个相似的运动在中国也有可能发生的事态。受此政府的影响,预计面向中国的半导体制造装置出口将减少的国内大型半导体制造装置制造商东京电子和SCREEN等的股价都在下跌。附件:経産省が半導体製造装置など23品目の輸出を2023年7月より規制、その中身を読み解く.docx
  • 光学设备在半导体制造领域的部分应用
    半导体是指具有半导体特性的材料,它们在一定条件下能够传导电流,但在其他条件下却能阻止电流的通过。半导体的导电性能介于导体和绝缘体之间。常见的半导体材料包括硅、锗、砷化镓等。半导体作为当代科技的核心组成部分,半导体目前在电子、通信、计算机、医疗、光伏和汽车领域发挥着举足轻重的作用。通过对半导体材料、工艺和使用技术的不断探索,未来在新材料和新工艺的研究与应用、集成化与智能化、环保和可持续发展、生物电子与神经科技和量子计算与量子通信等领域都极可能是新的趋势。半导体产品的制造需要数百个工艺,通常来讲,整个制造过程分为八个步骤:晶圆加工-氧化-光刻-刻蚀-薄膜沉积-互连-测试-封装。卓立汉光作为国内一家光谱、光机和激光设备制造商,在半导体制造过程中可以提供一些加工或者测试设备。晶圆加工:所有半导体工艺都始于一粒沙子!因为沙子所含的硅是生产晶圆所需要的原材料。晶圆是将硅(Si)或砷化镓(GaAs)制成的单晶柱体切割形成的圆薄片。要提取高纯度的硅材料需要用到硅砂,一种二氧化硅含量高达95%的特殊材料,也是制作晶圆的主要原材料。晶圆加工就是制作获取上述晶圆的过程。在晶圆切割中,卓立汉光提供压电系列产品,比如Carrier系列物镜对焦台和Carrier系列多维运动位移台。亚纳米物镜自动对焦台Carrier.OBHLxx.C.HV系列特点:&bull 最快稳定时间(90% 位置稳定) 15ms 以内&bull 闭环分辨率优于 1nm&bull 最大负载 500 g&bull 控制器兼容多场科技 Motion Controller - Archimedes Series&bull 支持无磁 (.NM) 、高真空 (HV) 和超高真空 (.UHV) 选件Carrier.S200.xy/xyz.C系列中空压电扫描台产品特⾊ &bull 两维度XY 扫描运动 200 um × 200 um;&bull 闭环定位精度优于 1nm;&bull 最⼤ 负载 500 g;&bull 针对光学显微镜-超分辨定制化解决⽅ 案;&bull ⽀ 持⽆ 磁( .NM)和⾼ 真空( .UHV)选件升级CarrierHS100.xxx.C/S系列中空压电扫描台&bull 闭环分辨率优于 1nm&bull 最大负载 3.5 kg&bull 针对光学显微镜-超分辨定制化解决方案&bull 支持无磁 (NM) 和高真空 (UHV) 选件升级光刻光刻是通过光线将电路图案“印刷”到晶圆上,我们可以将其理解为在晶圆表面绘制半导体制造所需的平面图。电路图案的精细度越高,成品芯片的集成度就越高,必须通过先进的光刻技术才能实现。具体来说,光刻可分为涂覆光刻胶、曝光和显影三个步骤。在光刻工艺中,卓立汉光可以提供主动隔振台、气浮直线电机、单维或多维扫描描台和物镜对焦台等压电产品和193nm激光器。主动隔振台主要特征&bull 无低频共振 - 低频范围内具有优异的隔振特性&bull 低至0.6Hz开始主动隔振(200Hz被动隔振)&bull 只需0.3秒的设置时间&bull 自动调节负载&bull 因固有刚度具有高度的位置稳定性&bull 接电即可,无需压缩空气&bull 真正的主动隔振:即时产生反作用力来抵消振动气浮直线电机特点:&bull 最高可实现1um左右的运动直线度与运动平行度。&bull 最高可实现亚微米级别定位精度&bull 支持龙门结构定制。&bull 气浮直线电机是实现长行程、大负载、高速、高精度的需求的*优解。深紫外单纵模固体激光器Ixion193IXION 193为全固化单频激光器,其线宽达到变换极限,可用于光学计量、193nm 步进光学系统校准、高功率准分子激光器种子等。典型应用:&bull 光谱仪校准;&bull 光刻;&bull 干涉仪;&bull 193nm 计量测量&bull 准分子激光器种子源薄膜沉积为了创建芯片内部的微型器件,需要不断地沉积一层层的薄膜并通过刻蚀去除掉其中多余的部分,另外还要添加一些材料将不同的器件分离开来。每个晶体管或存储单元就是通过上述过程一步步构建起来的。这里所说的“薄膜”是指厚度小于1微米(μm,百万分之一米)、无法通过普通机械加工方法制造出来的“膜”。将包含所需分子或原子单元的薄膜放到晶圆上的过程就是“沉积”。要形成多层的半导体结构,需要先制造器件叠层,即在晶圆表面交替堆叠多层薄金属(导电)膜和介电(绝缘)膜,之后再通过重复刻蚀工艺去除多余部分并形成三维结构。可用于沉积过程的技术包括化学气相沉积 (CVD)、原子层沉积 (ALD) 和物理气相沉积 (PVD),采用这些技术的方法又可以分为干法和湿法沉积两种。化学气相沉积原子层沉积物理气相沉积在薄膜沉积的过程中,卓立汉光可以提供一系列的压电位移台,比如:LsXX.lab/LsXX.lab.E系列压电纳米线性位移台特点:&bull 超安静运动,20Hz驱动频率&bull 闭环控制位置传感支持电阻型(R和光学型(.0)&bull 高精度空间传感分辨率(.0)10nm(默认);4.88nm2.44nm,lnm可选&bull 经济型空间传感分辨率(R)100-200nm&bull *小步伐约10 nm&bull 可提供多轴堆叠安装转接件&bull 控制器兼容旋转台,摇摆台&bull 高真空 (HV) 和超高真空支持无磁 (NM) 、(UHV) 选件晶圆测试半导体晶圆PL光谱测试系统针对第三代半导体,如GaN、InGaN、AlGaN等,进行温度相关光谱和荧光寿命测试。同时可测量外延片的膜厚、反射率及相应的Mapping图。荧光光谱的峰值波长、光谱半宽、积分光强、峰强度、荧光寿命与电子/空穴多种形式的辐射复合相关,杂质或缺陷浓度、组分等密切相关通过白光干涉技术测量外延片的薄膜厚度(Thickness)、反射率(PR)以及晶片翘曲度。半导体晶圆PL光谱测试系统半导体晶圆PL光谱测试系统随着半导体技术的进步和更新,卓立汉光也会及时推出符合科研和工业生产需要的配套加工和检测设备,敬请关注。
  • 焜腾红外推出全系列T2SL制冷红外探测器,全面覆盖中波和长波多种面阵规格
    ——记HOT T2SL Ⅱ类超晶格探测器量产第三年 致力于Ⅱ类超晶格制冷型红外探测器产业化的焜腾红外,在过去三年已经完成Ⅱ类超晶格红外探测器工程化批产超千支。尽管已是焜腾红外120k高工作温度制冷型探测器实现量产的第三年,但是在技术迭代和产品开发方面,焜腾红外却从未止步。在稳定批产的同时,焜腾红外也在逐步发力150k制冷探测器的批量生产以及长波Ⅱ类超晶格制冷型探测器的工程化工作。现阶段已经研发出温度更高(160K)、面阵更大(2Kx2K)、重量更轻(260 g)、波长更长(12 μm)、寿命更久(45000小时)的Ⅱ类超晶格制冷型红外探测器,全面覆盖中波和长波多种面阵规格。 经过技术研发人员过去三年的持续努力,焜腾红外现已研制出适用于不同场景和应用条件的多种T2SLⅡ类超晶格探测器。仅重量方面就已经研制出550 g、350 g、和260 g三种规格,其中重量仅重260 g的探测器其芯片的工作温度已经能达到150K,部分甚至可达160K的芯片工作温度。在制冷机的配置上,除了旋转式斯特林制冷机外,还可以根据客户需求搭配线性制冷机,以实现挥发性有机化合物(VOCs)气体在线泄漏检测系统应用高达45000小时的寿命的特殊需求。除了重量和制冷机配置上可以适配用户的不同需求外,焜腾红外在探测器面阵上也已经可以做到2Kx2K,覆盖范围除中波之外,也已研制出最长波长达12 μm的长波探测器。焜腾红外能为广大客户提供多种阵列规格和响应波长的产品,分别为320x256、640x512、1280x1024以及2048x2048,其光谱响应范围涵盖3.2 μm – 3.5 μm、3 μm – 5 μm、7.5 μm – 9.5 μm及10.3 μm – 10.7 μm多个波段,基本上实现了中波和长波全规格探测器的技术供应。 焜腾红外的技术研发路线集中于深耕Ⅱ类超晶格制冷红外探测器这一新型探测器技术路线,研制出并生产覆盖中长波的Ⅱ类超晶格制冷型红外探测器,下一步的研发方向将会向着更长波发力,以及研发覆盖波段更全、应用范围更广、在有害气体检测方面能检测到更多气体种类的II类超晶格探测器。除了现有生产基地之外,焜腾红外在嘉兴的新厂(占地35亩的焜腾光电芯片产业园项目)已经结顶并即将落成投入使用,届时该产业园将会成为国内最具竞争力的覆盖Ⅲ-V族化合物半导体制冷型芯片与探测器组件及VCSEL芯片的重要基地,预计达产后年产红外探测器一万支,最终实现国产化探测器的全规格批产。 在第24届中国国际光电博览会中(9月6-8日),焜腾红外将携自研和生产的各类探测器、探测器组件和VCSEL芯片亮相,展位在深圳国际会展中心(宝安新馆)CIOE红外技术及应用8号馆8B023,欢迎各位莅临展位进行洽谈合作!本次展会展品介绍V340红外热成像气体泄漏检测仪V340红外热成像气体泄漏检测仪是一款针对VOCs的非接触式泄漏检测设备,产品工作波段为3.2 µm – 3.5 µm,可检测甲烷、乙醇、汽油、苯等400余种VOCs气体或挥发性液体的微小泄漏。机载式VOCs气体泄漏可视化巡检系统U-330机载式VOCs气体泄漏可视化巡检系统U-330应用于甲烷及其他VOCs的泄漏检测,整套系统由大疆M300RTK无人机搭载吊舱式VOCs气体泄漏红外成像仪D330组成。在线式VOCs气体泄漏可视化检测系统M330在线式VOCs气体泄漏可视化检测系统M330应用于甲烷及其他VOCs的泄漏检测。探测终端内采用高灵敏度320x256高工作温度的二类超晶格中波制冷红外焦平面探测器、通过有线网络可实时观测VOCs气体泄漏状态的双光图像,系统适用于工业领域VOCs气体泄漏的实时在线监测。
  • Hi, LOTTE! | 全新封装设计,深度制冷至-100℃,全帧内真空CCD相机
    “持续追求更优的设计,不断提高相机性能。”日前,我们的合作伙伴 greateyes 正式发布了用于 EUV、VUV、X 射线的全帧内真空 CCD 相机 LOTTE。greateyes 已经为客户成功定制了这样的内真空相机,并在今年的慕尼黑国际光博览会(LASER World of PHOTONICS)上向大家首次公开展示了 LOTTE:LOTTE覆盖5eV - 20keV的能量范围,采用封装式不锈钢外壳,超高真空兼容,低至10-9mbar;18 bit 的模数转换能够利用 CCD 传感器的全动态范围达到更高的信噪比。这款内真空 CCD 相机 LOTTE 集成了目前最前沿的低噪声电子系统和超低温制冷技术,深度制冷至 -100℃,低噪声使之成为极弱信号条件下的理想相机,将给光谱学和影像研究带来前所未有的可能性。特 点• 可至 -100 °C 的超低温半导体制冷系统 产生极低的暗电流来达到更佳检测极限• 千兆以太网 GigE 数据接口 您可选择本地或远程进行操作• 至 5MHz 的快速读取速度 高帧率搭配低噪声电子系统• 超高真空(UHV)兼容性 密封设计达到极低的材料释气率• 高达 98% 的量子效率 灵敏的传感器适合弱光应用• 灵活的软件选项 原装 Vision 软件或各类开发包 SDK极紫外、软 X 射线内真空 CCD 相机LOTTE-s 光谱系列型号参数典型应用▪ 极紫外光刻▪ 软 X 射线光谱▪ 等离子体发射光谱▪ 高次谐波(HHG)光谱▪ X 射线近边吸收精细结构光谱共振非弹性 X 射线散射量子效率极紫外、软 X 射线内真空 CCD 相机 LOTTE-i 成像系列型号参数典型应用▪ 极紫外光刻▪ X 射线层析成像/ 荧光成像▪ 傅立叶变换全息术▪ X 射线衍射▪ X 射线相衬成像▪ 掠入射小角 X 射线散射(GISAXS)量子效率灵活定制我们也可以根据客户的需求灵活定制您的专属相机!例如改变传感器的位置和倾角,修改相机尺寸及冷却系统等等,更多具体要求欢迎与我们交流。德国Greateyesgreateyes 开发、生产并销售高性能科学相机。其作为精确探测器,被广泛应用于成像与谱学应用领域。同时,greateyes 公司也生产用于太阳能产业的电致荧光与光致荧光检测系统。成立于 2007 年的greateyes,以德国柏林洪堡大学的技术为基础,迅速发展成为国际知名的先进探测器生产企业。如今,其科研与工业客户群体已遍布多个国家。 北京众星联恒科技有限公司作为 greateyes 公司中国区授权总代理商,为中国客户提供 greateyes 所有产品专业的售前咨询,销售及完整的售后服务。欢迎各位对 CCD 相机感兴趣的老师随时联系我们。
  • 德国Greateyes全新平台ELSE!全帧、深度制冷CCD 相机
    全新升级 greateyes CCD相机 2019年12月 全帧转移,深度制冷,高性能科研级CCD 相机全新平台出身于柏林的ELSE是德国greateyes公司最全新研发,应用于紫外-可见-近红外波段的光谱及影像相机。ELSE集成了目前最前沿的低噪声电子系统和超低温制冷技术,同时保持了紧凑小巧的设计。全新的设计允许从50kHz至4MHz灵活地选择所需读出速度。18-bit的模数转换能够利用CCD传感器的全动态范围,以达到更好表现和更高的信噪比。为匹配不同应用的需求,该相机包括多种类型的传感器可供用户选择。同时ELSE的低噪声使之成为极弱信号条件下所需的理想相机,它将给您的光谱学和影像研究带来前所未有的机遇。主要特点• 超低温半导体制冷系统产生极低的暗电流来达到更佳检测限• 严密的真空封装保护传感器且维护需求较低• 千兆以太网GigE 及 USB 3.0 数据接口您可选择本地或远程进行操作• 多种传感器类型不同尺寸均提供使用紫外,可见或近红外的镀膜• 高达 95% 的量子效率灵敏的传感器适合弱光应用• 用户可选择增益在最适合信噪比和动态范围间平衡传感器• 快速读取速度高帧率搭配低噪声电子系统• 灵活的软件选项原装 Vision 软件或各类开发包 SDK光谱应用成像应用ELSEsELSEi典型示例拉曼光谱近红外光谱荧光光谱吸收,透射及反射光谱活体荧光生物成像天文观测LIBS 光谱仪中子层析成像EL / PL 成像超冷量子研究典型型号ELSEsELSE 1024x128ELSE2048x512ELSE1024x256像素规格1024 × 1281024 × 2562048 × 512 感光区域26.6mm × 3.3 mm26.6 mm × 6.7 mm27.6 mm × 6.9 mm像素尺寸26 μm × 26 μm26 μm × 26 μm13.5 μm × 13.5 μmELSEi(图片为4096x4096)ELSE 1024 x1024ELSE 2048x2048ELSE 4096x4096像素规格1024 × 10242048 × 20484096 × 4096感光区域13.3 mm × 13.3 mm27.6 mm × 27.6 mm61.4 mm × 61.4 mm像素尺寸13 μm × 13 μm13.5 μm × 13.5 μm15 μm × 15 μm量子效率曲线德国Greateyesgreateyes开发、生产并销售高性能科学相机。其作为精确探测器,被广泛应用于成像与谱学应用领域。同时,greateyes公司也生产用于太阳能产业的电致荧光与光致荧光检测系统。成立于2008年的greateyes,以德国柏林洪堡大学的技术为基础,迅速发展成为国际知名的先进探测器生产企业。如今,其科研与工业客户群体已遍布多个国家。 北京众星联恒科技有限公司作为Greateyes公司中国区授权代理商,为中国客户提供Greateyes所有产品的售前咨询,销售及售后服务。我司始终致力于为广大科研用户提供专业的x射线产品及解决方案。
  • 非制冷势垒型InAsSb基高速中波红外探测器
    高速响应的中波红外探测器在自由空间光通信和频率梳光谱学等新兴领域的需求逐渐增加。中长波XBₙn势垒型红外光探测器对暗电流等散粒噪声具有抑制作用。近期,由中国科学院半导体研究所、昆明物理研究所、中国科学院大学和陆装驻重庆军代局驻昆明地区第一军代室组成的科研团队在《红外与毫米波学报》期刊上发表了以“非制冷势垒型InAsSb基高速中波红外探测器”为主题的文章。该文章第一作者为贾春阳,通讯作者为赵俊总工程师和张逸韵研究员。本工作制备了不同直径的nBn和pBn结构的中波InAsSb/AlAsSb红外接地-信号-接地(GSG)探测器。对制备的探测器进行了变温暗电流特性,结电容特性和室温射频响应特性的表征。材料生长、器件制备和测试通过固态源分子束外延装置在2英寸的n型Te-GaSb衬底上外延生长nBn和pBn器件。势垒型器件的生长过程如下所示:先在衬底上生长GaSb缓冲层来平整表面以及减少应力和位错,接着生长重掺杂(10¹⁸ cm⁻³)n型InAsSb接触层,然后生长2.5 μm厚的非故意掺杂(10¹⁵ cm⁻³)InAsSb体材料吸收层。之后生长了150 nm厚的AlAsSb/AlSb数字合金电子势垒层,通过插入超薄的AlSb层实现了吸收区和势垒层的价带偏移的显著减少,有助于空穴向接触电极的传输,同时有效阻止电子以减小暗电流。最后分别生长300 nm厚的重掺杂(10¹⁸ cm⁻³)n型InAsSb和p型GaSb接触层用于形成nBn和pBn器件结构。其中,Si和Be分别被用作n型和p型掺杂源。生长后,通过原子力显微镜(D3100,Veeco,USA)和高分辨X射线衍射仪(Bede D1,United Kingdom)对晶片进行表征以确保获得高质量的材料质量。通过激光划片将2英寸的外延片划裂为1×1 cm²的样片。样片经过标准工艺处理,包括台面定义、钝化和金属蒸镀工艺,制成直径从10 μm到100 μm的圆形台面单管探测器。台面定义工艺包括通过电感耦合等离子体(ICP)和柠檬酸基混合溶液进行的干法刻蚀和湿法腐蚀工艺,以去除器件侧壁上的离子诱导损伤和表面态。器件的金属电极需要与射频探针进行耦合来测试器件的射频响应特性,因此包括三个电极分别为Ground(接地)、Signal(信号)和Ground,其中两个Ground电极相连,与下接触层形成欧姆接触,Signal电极与上接触层形成欧姆接触,如图1(c)和(f)所示。通过低温探针台和半导体参数分析仪(Keithley 4200,America)测试器件77 K-300 K范围的电学特性。器件的光学响应特性在之前的工作中介绍过,在300 K下光电探测器截止波长约为4.8 μm,与InAsSb吸收层的带隙一致。在300 K和反向偏置为450 mV时,饱和量子效率在55%-60%。通过探针台和频率响应范围10 MHz-67 GHz的矢量网络分析仪(Keysight PNA-XN5247B,America)对器件进行射频响应特性测试。结果与讨论材料质量表征图1(a)和(d)的X射线衍射谱结果显示,从左到右的谱线峰分别对应于InAsSb吸收层和GaSb缓冲层/衬底。其中,nBn和pBn外延片的InAsSb吸收区的峰值分别出现在60.69度和60.67度,GaSb衬底的峰值则出现在60.72度。因此,InAsSb吸收层与GaSb 衬底的晶格失配分别为-108 acsec和-180 acsec,符合预期,表明nBn和pBn器件的InAsSb吸收区和GaSb衬底几乎是晶格匹配的生长条件。因此,nBn和pBn外延片都具有良好的材料质量。原子力显微镜扫描的结果在图1的(b)和(e)中,显示出生长后的nBn和pBn外延片具有良好的表面形貌。在一个5×5 μm²的区域内,nBn和pBn外延片的均方根粗糙度分别为1.7 Å和2.1 Å。图1 (a)和(a)分别为nBn和pBn外延片的X射线衍射谱;(b)和(e)分别为nBn和pBn外延片的原子力显微扫描图;(c)和(f)分别为制备的圆形GSG探测器的光学照片和扫描电子照片器件的变温暗电流特性图2(a)显示了器件直径90 μm的nBn和pBn探测器单管芯片的温度依赖暗电流密度-电压曲线,通过在连接到Keithley 4200半导体参数分析仪的低温探针台上进行测量。图2(b)显示了件直径90 μm的nBn和pBn探测器在77 K-300 K下的微分电阻和器件面积的乘积R₀A随反向偏压的变化曲线,温度下降的梯度(STEP)为25 K。图2(c)显示了在400 mV反向偏压下,nBn和pBn探测器表现出的从77 K到300 K的R₀A与温度倒数(1000/T)之间的关系,温度变化的梯度(STEP)为25 K。图2 从77K到300K温度下直径90 μm的nBn和pBn探测器单管芯片(a)暗电流密度-电压曲线;(b)微分电阻和器件面积的乘积R₀A随反向偏压的变化曲线;(c)R₀A随温度倒数变化曲线器件暗电流的尺寸效应由于势垒型红外探测器对于体内暗电流可以起到较好的抑制作用,因此研究人员关注与台面周长和面积有关的表面泄露暗电流,进一步抑制表面漏电流可以进一步提高探测器的工作性能。图3(a)显示了从20 μm到100 μm直径的nBn和pBn器件于室温工作的暗电流密度和电压关系,尺寸变化的梯度(STEP)为10 μm。图3(b)显示从20 μm-100 μm的nBn和pBn探测器的微分电阻和台面面积的乘积R₀A随反向偏压的变化曲线。图3(d)中pBn器件的相对平缓的拟合曲线说明了具有较高的侧壁电阻率,根据斜率的倒数计算出约为1.7×10⁴ Ωcm。图3 从20 μm到100 μm直径的nBn和pBn器件于室温下的(a)暗电流密度和电压变化曲线和(b)R₀A随反向偏压的变化曲线;(c)在400 mV反偏时,pBn和nBn器件R₀A随台面直径的变化;(d)(R₀A)⁻¹与周长对面积(P/A)变化曲线器件的结电容图4(a)显示了使用Keithley 4200 CV模块在室温下不同直径的nBn和pBn探测器的结电容随反向偏压的变化曲线,器件直径从20 μm到100 μm按照10 μm梯度(STEP)变化。对于势垒层完全耗尽的pBn探测器,预期器件电容将由AlAsSb/AlSb势垒层电容和InAsSb吸收区耗尽层电容的串联组合给出,其中包括势垒层和上接触层侧的InAsSb耗尽区。图4 (a)在室温下不同直径的nBn和pBn探测器的结电容随反向偏压的变化曲线;(b)反偏400 mV下结电容与台面直径的变化曲线。器件的射频响应特性通过Keysight PNA-X N5247B矢量网络分析仪、探针台和飞秒激光光源,在室温和0-3 V反向偏压下,对不同尺寸的nBn和pBn探测器在10 MHz至67 GHz之间进行了射频响应特性测试。根据图5推算出在3V反向偏压下的40 μm、50 μm、70 μm、80 μm、90 μm、100 μm直径的圆形nBn和pBn红外探测器的3 dB截止频率(f3dB)。势垒型探测器内部载流子输运过程类似光电导探测器,表面载流子寿命对响应速度会产生影响。图5 在300 K下施加-3V偏压的40 μm、50 μm、70 μm、80 μm、90 μm、100 μm直径的nBn和pBn探测器的归一化频率响应图图6 不同尺寸的nBn和pBn探测器(a)3 dB截止频率随反向偏压变化曲线;(b)在3 V反向偏压下的3 dB截止频率随台面直径变化曲线图6(a)展示了对不同尺寸的nBn和pBn探测器,在0-3 V反向偏压范围内的3 dB截止频率的结果。随着反向偏压的增大,不同尺寸的器件的3 dB带宽也随之增大。因此,在图6(a)中观察到在低反向偏压下nBn和pBn器件的响应较慢,nBn探测器的截止频率落在60 MHz-320 MHz之间而pBn探测器的截止频率落在70 MHz-750 MHz之间;随着施加偏压的增加,截止频率增加,nBn和pBn器件最高可以达到反向偏压3V下的2.02 GHz和2.62 GHz。pBn器件的响应速度相较于nBn器件提升了约29.7%。结论通过分子束外延法在锑化镓衬底上生长了两种势垒型结构nBn和pBn的InAsSb/AlAsSb/AlSb基中波红外光探测器,经过台面定义、工艺钝化工艺和金属蒸镀工艺制备了可用于射频响应特性测试的GSG探测器。XRD和AFM的结果表示两种结构的外延片都具有较好的晶体质量。探测器的暗电流测试结果表明,在室温和反向偏压400 mV工作时,直径90 μm的pBn器件相较于nBn器件表现出更低的暗电流密度0.145 A/cm²,说明了该器件在室温非制冷环境下表现出低噪声。不同台面直径的探测器的暗电流测试表明,pBn器件的表面电阻率约为1.7×10⁴ Ωcm,对照的nBn器件的表面电阻率为3.1×10³ Ωcm,而pBn和nBn的R₀A体积项的贡献分别为16.60 Ωcm²和5.27 Ωcm²。探测器的电容测试结果表明,可零偏压工作的pBn探测器具有完全耗尽的势垒层和部分耗尽的吸收区,nBn的吸收区也存在部分耗尽。探测器的射频响应特性表明,直径90 μm的pBn器件的响应速度在室温和3 V反向偏压下可达2.62 GHz,对照的nBn器件的响应速度仅为2.02 GHz,相比提升了约29.7%。初步实现了在中红外波段下可快速探测的室温非制冷势垒型光探测器,对室温中波高速红外探测器及光通讯模块提供技术路线参考。论文链接:http://journal.sitp.ac.cn/hwyhmb/hwyhmbcn/article/abstract/2023157
  • 盛美半导体发布首台应用于化合物半导体制造中晶圆级封装和电镀应用的电镀设备
    作为半导体制造与先进晶圆级封装领域中领先的设备供应商,盛美半导体设备8月31日发布了新产品——Ultra ECP GIII电镀设备,以支持化合物半导体(SiC, GaN)和砷化镓(GaAs) 晶圆级封装。该系列设备还能将金(Au)镀到背面深孔工艺中,具有更好的均匀性和台阶覆盖率。Ultra ECP GIII还配备了全自动平台,支持6英寸平边和V型槽晶圆的批量工艺,同时结合了盛美半导体的第二阳极和高速栅板技术,可实现最佳性能。盛美半导体设备董事长王晖表示:“随着电动汽车、5G通信、RF和AI应用的强劲需求,化合物半导体市场正在蓬勃发展。一直以来,化合物半导体制造工艺的自动化水平有限,并且受到产量的限制。此外,大多数电镀工艺均采用均匀性较差的垂直式电镀设备进行。盛美新研发的Ultra ECP GIII水平式电镀设备克服了这两个困难,以满足化合物半导体不断提升的产量和先进性能需求。”盛美的Ultra ECP GIII设备通过两项技术来实现性能优势:盛美半导体的第二阳极和高速栅板技术。第二阳极技术可通过有效调整晶圆级电镀性能,克服电场分布差异造成的问题,以实现卓越的均匀性控制。它可以应用于优化晶圆边缘区域图形和V型槽区域,并实现3%以内的电镀均匀性。盛美的高速栅板技术可达到更强的搅拌效果,以强化传质,从而显著改善深孔工艺中的台阶覆盖率,同时提升的步骤覆盖率可降低金薄膜厚度,从而为客户节约成本。盛美半导体的Ultra ECP GIII已取得来自中国化合物半导体制造商的两个订单。第一台订单设备采用第二阳极技术的铜-镍-锡-镀银模块,且集成真空预湿腔体和后道清洗腔体,应用于晶圆级封装,已于上月交付。第二台订单设备适用于镀金系统,将于今年下一季度交付客户端。
  • 22家企业回应日本出台半导体制造设备管制措施,整体影响不大
    日本经济产业省(经产相)5月23日公布了《关于根据出口贸易管理令附表第一及外汇令附表的规定修改部分规定货物或技术的省令等的修改概要》的文件。根据外汇及外国贸易法(外汇法)对货物等省令进行了修改,正式决定将至今为止要求公开评论的尖端半导体制造装置等23个品种添加到出口管理的限制对象中。经过两个月的周知期,将于2023年7月23日施行。(清单可查看:《日媒:7月23日起将实施先进半导体制造设备等23项商品出口限制 》)小编也关注了一些半导体公司的动态,发现在投资者关系互动平台上,众多半导体相关企业的投资者纷纷提出了关于“日本出台相关限制的影响”的相关问题,22家上市企业董秘也做出了回答!整体来看,目前日本出台的半导体制造设备的管制措施影响不大,对于部分半导体设备企业甚至产生了积极影响。投资者 问 劲拓股份:董秘您好!日本正式出台半导体制造设备出口管制措施,对于半导体设备国产化方面及专利数量较为突出的劲拓来说,是否是一项利好,是否在业务上有实际上的业绩增长的情况?在半导体设备需要国产突围的关键时刻,是否会加速国企入驻进程?谢谢!董秘回答(劲拓股份):尊敬的投资者,您好!半导体专用设备总体国产化率较低、供给和需求不平衡,具有较大的进口替代空间;在当前国际贸易摩擦的背景下,国产替代的需求则更加迫切。公司半导体专用设备为国产空白设备,品质和性能对标美国、德国等国技术和产品成熟度较高的企业,同时具有价格、交期、售后服务等方面的优势,具有较强的进口替代实力。2022年系公司半导体专用设备规模化销售元年,未来有着较大的市场份额提升空间;公司将积极把握市场机遇,促进半导体专用设备业务高质量发展和收入规模增长。 公司控股股东拟筹划表决权委托暨控制权变更事项的具体进展,敬请以公司披露于巨潮资讯网的公告为准。感谢您的关注和支持!投资者 问 云南锗业:董秘你好!日本通过限制出口半导体设备措施,请问是否对公司造成影响,公司半导体化合物生产设备是否全部来自国产或者国产有替换?董秘回答(云南锗业):您好,公司子公司云南鑫耀半导体材料有限公司设备种类较多,部分设备通过市场采购获得,其中包括了国产及进口设备,也有部分设备是根据生产工艺开发定制。根据目前公开信息,并未发现对公司产生影响。 感谢您对公司的关注!投资者 问 大族激光:董秘好,日本禁止出口半导体设备,目前大族在半导体设备制造方面有哪些相应设备,目前能否做到独立自主,能否做到国产替代,在高端半导体设备方面有哪些新规划新布局。谢谢董秘回答(大族激光):尊敬的投资者,您好!公司半导体设备主要产品为激光表切、全切设备,激光内部改质切割设备以及刀轮切割设备等前道晶圆切割设备;焊线设备、固晶设备、测试编带设备等后道封测设备以及晶圆自动化传输设备。谢谢。投资者 问 沪电股份:董秘您好!请问日本出台对半导体材料出口管制,对公司原材料采购及产品销售是否产生影响?还有请问公司目前产能优良率是多少?销售订单能见度是多久?董秘回答(沪电股份):公司产品为印制电路板,关于半导体材料方面的问题建议您去问询相关半导体公司;公司2022年度报告披露的全年整体良品率约92.74%;印制电路板为定制化产品,销售订单取决于市场整体需求,谢谢!投资者 问 北京君正:董秘你好。请问日本半导体限制对贵司原材料采购和产品制造过程中是否产生影响,谢谢董秘回答(北京君正):您好!目前对我们没有影响。谢谢!投资者 问 捷佳伟创:董秘你好:贵公司的半导体设备是否能替代日本对我国的半导体设备封锁和限制。董秘回答(捷佳伟创):您好!公司开展半导体湿法清洗类设备研发,清洗类设备在经营制造、光刻、刻蚀、沉积等关键制程及封装工艺中均为必要环节,可实现清洗类设备国产化,打破长期被进口设备卡脖子的局面,极大的提高国产设备市场占有率,为公司进入高阶半导体设备行业奠定基础。此外,公司开展的碳化硅高温退火炉/氧化炉研发项目完成后将促进大尺寸基片碳化硅器件制造设备的研制,实现碳化硅器件制造设备的国产化。谢谢!投资者 问 凯格精机:最近,欧美及日本政府制裁我国半导体设备,对本公司半导体设备关键零部件引进,是否对公司正常生产造成大的影响?董秘回答(凯格精机):您好!公司不受上述事项影响,感谢您的关注!投资者 问 TCL科技:近日日本拟加入围堵中国半导体制裁队伍,考虑到半导体显示面板,公司好多材料来自日本,当时日本也制裁过韩国面板企业,请问公司是否考虑开始扶持日本以外的面板材料供应商,确保日本不会加入对话制裁队伍,导致公司主营业务受影响,公司应该联合深圳市、中国科研机构,为面板材料国产化努力,确保不被日本制裁,谢谢董秘回答(TCL科技):您好!目前显示产业链国内配套建厂体系成熟,本地化供应比例较高并持续提升趋势明确,公司也会在保障经营稳定的情况下加快提升供应链的国产化占比。感谢您对公司的关注。投资者 问 康拓红外:请问 日本限制23种半导体设备材料出口,是否会对公司业务构成影响?董秘回答(康拓红外):尊敬的投资者您好!感谢您的关注!国际局势变动未给公司业务带来影响。投资者 问 万润股份:日本限制半导体制造材料出口后,贵公司对日企销售的光刻胶单体及光刻胶树脂会不会受到影响?谢谢。董秘回答(万润股份):您好,公司目前出口日本的业务未受到影响。感谢关注。投资者 问 旷达科技:董秘你好,目前日本已经正式发布出台对半导体设备出口的管制,请问对公司将来的采购设备有没有影响?合肥的工厂目前进展是否顺利?董秘回答(旷达科技):本次日本半导体设备出口管制对芯投微设备采购没有影响。芯投微设备采购计划已大部分完成下单。投资者 问 国风新材:董秘先生,鉴于日本也加入了限制半导体设备和材料的行列,国产光刻胶替代迫在眉睫,请问贵司的光刻胶项目预计什么时间可以落地,该项目公司有哪些优势,能否突破卡脖子现状,希望董秘可以把该项目的进展情况详细些告知广大投资者,谢谢!董秘回答(国风新材):尊敬的投资者,您好!目前项目研发正在有序推进中,真诚感谢您的关注和支持!祝您投资顺利!投资者 问 高新发展:董秘好,日本政府正式出台半导体制造设备出口管制措施,而公司募投项目核心设备主要从日本进口,请问管制措施对公司功率半导体项目的影响程度如何?谢谢。董秘回答(高新发展):您好,感谢您对公司的关注。据了解,此次日本政府出台的半导体制造设备出口管制措施主要针对先进制程。经与各设备供应商进行确认,芯未半导体所订购设备不在限制范围内。同时,芯未半导体产线建设所需核心设备均已完成采购合同的签订,按计划将陆续到货,预计在2023年12月底前全部完成交付。因此,日本政府出台的半导体制造设备出口管制措施,目前对公司芯未半导体建设无影响。谢谢!投资者 问 机器人:赵董秘,您好!3月31日,日本经济产业省宣布,计划加强对6大类23种高性能半导体制造设备出口管制。近日,中国半导体协会也就此次管制发出了严正声明,此次管制范围过于宽泛,对我国半导体相关企业造成很大困扰。新松公司及子公司是否有能力生产被限制的6大类23种高性能半导体制造设备中的设备,进行国产替代?董秘回答(机器人):您好,公司半导体装备业务产品主要为自主研发的真空机械手及集束型设备,包括:大气机械手、真空机械手等系列产品、EFEM、真空传输平台,主要应用在刻蚀、CVD、PVD、CMP、Descum、立式炉等工艺环节及领域,服务的下游行业是半导体工艺设备厂商。公司始终以产业安全,实现自主可控为目标,是国内较早能做到半导体机械手产品产业化的公司。目前,公司半导体制造产品并不直接与日本本次管制名单相关,相关产品也并不依赖日本进口。感谢您的关注。投资者 问 江丰电子:请问3月31日日本经济产业省宣布,将修改《外汇和外贸法》配套行政实施条例,加强对6大类23 种高性能半导体制造设备出口管制。贵公司是否存在生产经营必须的半导体原材料和半导体制造设备唯一依赖日本进口情况?设备和原材料境外供应商是否有国产替代备选供应商预案?董秘回答(江丰电子):您好!公司生产使用的关键设备以自主研发为主,主要联合国内设备厂商设计定制。同时,公司将持续努力构建安全稳定的供应链。感谢您的关注!投资者 问 维信诺:日本对中国半导体设备出口管制对公司有影响吗?董秘回答(维信诺):感谢您的关注。公司一直致力于强化上下游产业链的协同创新,不断提升和推进技术和材料的国产化进程。未来公司将持续努力推进材料、设备等国产化,扩大战略合作布局,建立可靠稳定的供应链保障体系,降低生产成本,确保供应安全可控。谢谢!投资者 问 杭氧股份:近期,日本政府限制向我国出口23种商品,其中包含高纯度氮气、高纯度氧气、高纯度氩气、高纯度氦气、高纯度氢气、高纯度氯气、高纯度氟等气体商品,请问公司有无相关产品?若有,哪些品种能国产替代,现有销量如何?谢谢董秘董秘回答(杭氧股份):您好,公司正积极拓展半导体相关气体领域,目前可以为半导体行业提供氧气、氮气、氩气以及部分特种气体。谢谢。投资者 问 北方华创:日本对国内14纳米以下先进制程进行封锁,公司有无可以替代的产品?或者公司有无14纳米以下的先进制程董秘回答(北方华创):您好,公司可为集成电路领域提供各种技术代的工艺设备。感谢关注!投资者 问 智立方:日本半导体出口限制,对公司有何影响?国产替代么?董秘回答(智立方):尊敬的投资者,您好。公司目前经营正常。公司半导体设备布局主要集中在显示类半导体(mini-led、micro-led)、分选机设备、AOI类设备;光通类(激光、高功率芯片为例),包括排巴机、AOI设备。公司将持续投入研发资源,加速推进国产替代进程。感谢关注。投资者 问 至纯科技:日本发布的半导体设备出口限制措施对贵公司是否有重大影响?是否会对从日本进口的重要零部件产生阻碍?甚至无法获得?董秘回答(至纯科技) :日本对半导体设备的限制措施中,其中对湿法清洗设备的限制对公司无影响,甚至有可能会加速国内下游厂商采购国产化设备的步伐,对除湿法清洗设备外的其他设备的限制可能会对公司业务产生间接影响,目前尚不确定。公司设备类部分零部件从日韩进口,公司已在国内寻找并培养可替代的国产零部件供应商,今年国产二供的比例争取进一步提升。投资者 问 英唐智控:美日荷半导体制裁,公司产品出口到国内会受限吗?董秘回答(英唐智控):尊敬的投资者,您好!目前,公司采购及销售产品的进出口情况正常,公司将持续努力构建安全稳定的供应链。感谢您的关注。投资者 问 京东方:考虑到日本最近加入对中国芯片的制裁,考虑到公司面板材料有一部分来自日本,考虑到日本不是一个稳定供应商,请问公司是否联合国内面板厂商,优先采购友好国家的供应链?董秘回答(京东方):您好!公司高度重视供应链安全建设,与全球数千家供应商保持着良好的合作关系,并积极推动原材料的本地化、国产化,以降低原材料成本和供应链风险。同时,公司在产业链方面持续积极布局,以促进产业链更好可持续发展。目前,公司已通过自身及产业基金助力数十家上游材料、设备伙伴快速健康成长。谢谢!
  • 盛美半导体设备收到全球主要半导体制造商的兆声波清洗设备DEMO订单
    作为半导体制造与先进封装领域领先的晶圆工艺解决方案供应商盛美半导体设备(ACM)宣布,已收到全球主要半导体制造商的Ultra C SAPS前道清洗设备的DEMO订单。预计该设备将于 2022 年一季度在客户位于中国地区的工厂进行安装调试。“这个订单表明盛美有很大机会赢得该全球性半导体公司在华工厂的信任,”盛美半导体设备董事长王晖博士表示,“这家制造商选择评估盛美的 SAPS 技术,旨在提升其研发能力和生产工艺能力。我们相信,这台设备成功通过评估后,我们与这家客户以及该区域内的其他主要客户会有更多的业务与合作机会。”盛美的专利空间交变相位移 (SAPS™ )晶圆清洗技术,运用了兆声波的交替相位变化以控制兆声波发生器与晶圆之间的间距。与先前的兆声波晶圆清洗系统所采用的固定式兆声波发生器不同,SAPS 技术在晶圆旋转时会往复移动,因而即使晶圆有翘曲,所有点接收到的兆声波能量也是均匀的。SAPS 工艺的清洗效率比传统兆声波清洗工艺高,不会造成额外的材料损耗,也不会影响晶圆表面粗糙度。该设备兼容了无损兆声波清洗功能,对结构性图形清洗表现更好。现已证明,对19纳米及以下的小颗粒均有显著清洗效果。
  • 重磅!日本正式出台半导体制造设备出口管制措施,商务部回应
    据商务部5月23日消息,商务部新闻发言人就日本正式出台半导体制造设备出口管制措施事答记者问。有记者问:5月23日,日本政府正式出台半导体制造设备出口管制措施。中方对此有何评论?答:我们注意到,日本政府正式出台针对23种半导体制造设备的出口管制措施,这是对出口管制措施的滥用,是对自由贸易和国际经贸规则的严重背离,中方对此坚决反对。在日方措施公开征求意见期间,中国产业界纷纷向日本政府提交评论意见,多家行业协会公开发表声明反对日方举措,一些日本行业团体和企业也以各种方式表达了对未来不确定性的担忧。但令人遗憾的是,日方公布的措施未回应业界合理诉求,将严重损害中日两国企业利益,严重损害中日经贸合作关系,破坏全球半导体产业格局,冲击产业链供应链安全和稳定。日方应从维护国际经贸规则及中日经贸合作出发,立即纠正错误做法,避免有关举措阻碍两国半导体行业正常合作和发展,切实维护全球半导体产业链供应链稳定。中方将保留采取措施的权利,坚决维护自身合法权益。据了解,在今年3月31日,日本政府宣布,为防止半导体技术外流,将23类半导体生产相关设备新增为出口管控对象。23类对象设备的出口限制地为全球,基于当下美国对华愈发严重的管控形势,日本对部分国家和地区(包括中国大陆)的出口手续将会更加复杂。4月8日,商务部新闻发言人表示,半导体是高度全球化的产业,经过数十年发展,已形成你中有我、我中有你的产业格局,这是市场规律和企业选择共同作用的结果。一段时间以来,个别国家频频泛化国家安全概念,滥用出口管制措施,持续加强对华半导体等产业打压,搞物项断供、技术封锁,人为割裂全球半导体市场,严重背离自由贸易原则和多边贸易规则,严重违反世贸组织规定的基本义务,严重冲击全球产业链供应链稳定。中国已向世贸组织提起诉讼。日方拟议的有关措施,本质上是在个别国家胁迫下对华实施的加害行为,不仅损害中国企业的正当合法权益,也会让日本企业蒙受损失,损人害己,也损害全球供应链的稳定。中国是世界最大的半导体市场,也是日本半导体制造设备的最大出口目的地,两国业界长期形成了产业链上下游紧密融合关系。当前,日方相关措施正在面向社会公众征求意见。我们希望日方听取理性声音,从维护规则、自身及中日双边利益出发,及时纠正错误做法,推动中日两国经贸关系健康发展,与各方一道共同维护全球半导体产业链供应链稳定。如日方执意人为阻碍中日半导体产业合作,中方将采取果断措施,坚决维护自身合法权益。
  • 进口额1200亿元!2020年半导体制造设备进出口数据盘点
    半导体作为最重要的产业之一,每年为全球贡献近五千亿美金的产值,可以毫不夸张的说,半导体技术无处不在。俗话说:巧妇难为无米之炊,半导体制造设备作为制造半导体器件和芯片的基础,在半导体产业中扮演着举足轻重的地位。然而,我国半导体仪器设备目前仍以进口为主,其中以日本、美国厂商为主。通过分析半导体制造设备的进出口情况,可以从侧面反映出中国半导体制造设备市场的一些情况。仪器信息网特对2020年1-12月,海关半导体制造设备进出口数据进行了分析汇总,为大家了解中国目前半导体制造仪器设备市场做一个参考。进口情况2020年各类半导体制造设备海关进口金额占比半导体制造设备包括CVD、PVD、光刻机、刻蚀机等设备。根据海关数据统计分析发现,2020年我国主要进口化学气相沉积设备(CVD)、等离子体刻蚀机和投影绘制电路图装置的金额最多。这表明我国在CVD、刻蚀机和投影绘制电路图装置(含光刻机)对外依存度最高。2020年1-12月半导体设备海关进口贸易伙伴金额分布图根据海关数据,2020年我国主要从日本、荷兰、美国、韩国、中国台湾以及新加坡等国家和地区进口半导体制造设备。同时,值得注意的是,日本占据了几乎三分之一的进口份额,我国对日本依赖严重,而荷兰的进口主要源于ASML的光刻机进口,根据ASML的2020财报披露,2020年全年净销售额为140亿欧元(约合1100 亿人民币),中国大陆占比18%,约198亿元。2020年进口半导体制造设备企业注册地分析图通过海关进口企业注册地数据,可以大致了解到进口半导体制造设备在国内的“落脚地”。可以看出 ,2020年,上海、江苏和湖北等省市的进口半导体制造设备的金额最多,而这些地区也是我国经济较发达,半导体产业比较发达的省份和地区,如中芯国际就位于上海。2020年1-12月半导体设备各月进口趋势变化对2020年1-12月半导体制造设备进口量逐月数据分析发现,半导体制造设备的进口数量呈现出波动增加的趋势,在8月份的进口额最高,2月份最低。出口情况2020年海关出口各类半导体制造设备金额占比根据海关数据统计分析发现,2020年我国主要出口化学气相沉积设备(CVD)、分步重复光刻机、等离子体干法刻蚀机和氧化扩散等热处理设备。2020年半导体制造设备海关出口贸易伙伴金额分布图进一步对海关出口贸易伙伴分析发现,2020年我国半导体制造设备主要出口中国台湾、美国、韩国、中国香港、越南等国家和地区。出口对象不仅有发达国家,还有发展中国家,但主要以集成电路产业发达的台湾地区、美国和韩国为主。2020年半导体制造设备出口企业注册地分析图通过分析这些出口企业注册地发现,企业注册地主要位于江苏、广东、上海和北京等省市,而这些地区也是我国经济较发达,半导体产业比较发达和科研实力强大的省份和地区。但相对于进口额,出口金额远低于进口金额。2020年1-12月半导体设备各月出口趋势变化对2020年1-12月半导体制造设备进口量逐月数据分析发现,半导体制造设备的进口数量呈现出波动增加的趋势,在12月份的进口额最高,2月份最低。进出口情况对比通过对进出口半导体制造设备对比,可以更清楚的了解我国半导体制造设备的产业发展水平和市场。各类半导体设备进出口均价对比半导体设备进口设备均价(元)出口设备均价(元)制半导体器件或IC的氧化扩散等热处理设备6214686.1364079.5制造半导体器件或IC的化学气相沉积装置14268806116462.2制造半导体器件或IC的物理气相沉积装置4979617.3229782.8其他制半导体件或集成电路用薄膜沉积设备8083053.3231300.7制半导体器件或集成电路用的分步重复光刻机5351967916821046其他投影绘制电路图的制半导体件或IC的装置1694642581375.06制造半导体器件或IC的等离子体干法刻蚀机132833974732948制造半导体器件或IC的其他刻蚀及剥离设备6345643.929869.35制造半导体器件或集成电路用的离子注入机18548451216146.4其他制半导体器件或集成电路用的机器及装置284072523381.61制造平板显示器的扩散、氧化等热处理设备12894204616435.8制造平板显示器用的化学气相沉积设备(CVD)73609711819447制造平板显示器用的物理气相沉积设备(PVD)2169161259459.53制造平板显示器用的其他薄膜沉积设备15556575——制造平板显示器用的分布重复光刻机64885068——其他投影绘制电路图的制造平板显示器的装置3765550.5987652.3制造平板显示器用的超声波清洗装置361904.21195.139统计结果表明,2020年我国进口半导体制造设备金额高达1200亿元人民币,出口设备约50亿元人民币。从设备均价可以看出,进口设备均价远大于出口设备,这表明我国半导体设备出口以低端设备为主,而进口以高端设备为主,各类设备中分步重复光刻机(步进光刻机)的均价最高。
  • 港媒:长江存储以国产半导体制造设备挑战先进NAND制造
    香港英文报纸《South China Morning Star》4月23日报道,中国最大的NAND供应商长江存储科技(YMTC),为了对抗美国对华半导体出口限制,计划使用中国制造的半导体装置生产尖端NAND产品。据此,YMTC向中国最大的半导体设备制造商北方华创(Naura Technology)、面向5nm工艺的尖端蚀刻装置的中微半导体设备(AMEC)等下了大量制造设备订单,并即将正式恢复3D NAND的生产。 该报分析称,如果YMTC国产化项目取得成功,中国半导体将找到自给自足的突破口,美国的制裁将失去力量。2022年,长江存储领先竞争对手成功研发出232层3D NAND,但由于美国更严格的监管,它不再能够从美国进口半导体制造设备。 因此,长江存储实施了重组,包括裁员,并暂停了生产线的建设,导致半导体行业猜测该公司将在2024年后从先进的NAND市场消失。陷入困境的YMTC在2023年初从3家中国国营投资公司获得了70亿美元的投资,以此为基础,打算用专有技术和中国制造半导体装置东山再起。至于趋势,该公司很有可能暂时生产传统的3D NAND并积累经验,之后重新开始生产尖端3D NAND。华为因被列入美国商务部实体名单而难以购买美国制造的半导体,它还从美国境外招聘工艺、设备和材料工程师,包括日本人,并资助中国半导体制造设备制造商。 也有传言称未来有可能建造一个量产工厂,但没有透露细节。除了YMTC,中芯国际和华为也瞄准了中国自给自足的半导体制造,中国的半导体制造设备制造商正在经历繁荣。 例如,2022年北方华创和中微半导体设备的销售额似乎分别比2017年增长了6倍和5倍,在需求旺盛的背景下,北方华创正在北京建设一条新的生产线,目标是在2024年开始运营。在今天的中国,有许多回到美国的工程师在英特尔等设备制造商和应用材料公司、泛林集团等设备制造商积累了经验,通过从日本招聘各种半导体工程师,国内的技术水平逐年提高,美国越是收紧对中国的半导体法规,就越有人担心,中国的自给自足可能会得到促进。
  • 升级光刻机管制!荷兰发布先进半导体制造设备出口禁令
    6月30日,荷兰政府发布公告,9月1日,先进半导体制造设备的额外出口管制措施将生效。从那时起,某些先进半导体制造设备的出口将受到国家授权要求的约束。该部长令于3月8日在致众议院的一封信中宣布,并于今天在《政府公报》上公布。对外贸易和发展合作部长Liesje Schreinemacher说,我们采取这一步骤是出于国家安全考虑。对于将受到影响的公司来说,知道他们可以期待什么是好事。这将给他们所需的时间来适应新规则根据这项部长令,现在有必要为某些类型的先进半导体制造设备的出口申请出口许可。该订单涉及先进半导体开发和制造的一些非常具体的技术。由于它们的具体使用方式,这些半导体可以为某些先进的军事应用做出关键贡献。因此,货物和技术的无管制出口可能构成国家安全风险。荷兰在这方面负有额外的责任,因为该国在这一领域具有独特的领导地位。与一般的出口管制政策一样,这一额外步骤是不针对国家的。“我们仔细考虑了这一决定,并尽可能准确地起草了部长令。这位部长说,这样,我们就可以解决最重要的漏洞,而不会对全球芯片制造造成不必要的干扰。据媒体报道,荷兰在先进半导体出口限制中除了EUV光刻机、较为先进的DUV光刻机外,还包括了ALD设备以及一些SiC外延设备。以下为使用谷歌翻译软件翻译的荷兰语公告:
  • 日本5月对华半导体制造设备出口额同比大增130.7%
    官方数据显示,日本5月出口额连续第六个月增长,主要得益于汽车和半导体。根据日本财务省公布的初步数据,该国出口总额达到8.2万亿日元(510亿美元),比去年同期增长13.5%。进口总额达到9.4万亿日元,同比增长9.5%,连续第二个月增长。其中,汽车出口额增长13.6%。芯片相关产品也有所增长,半导体制造设备出口额增长45.9%,包括半导体在内的电子元件增长24%。从数量上看,出口量连续第四个月下降0.9%。这表明,推动整体出口增长的是价格上涨,而不是大量需求。进口量也下降1.9%。“增长主要是由于出口价格上涨,推高了价值,而至关重要的出口量并不理想,”第一生命经济研究所经济学家Chisato Oshiba表示。根据日本央行公布的初步数据,日本5月以日元计算的出口价格较去年同期上涨10.9%。由于日元疲软和铜等金属价格上涨,进口价格上涨6.9%。根据日本财务省数据,按目的地划分,受半导体制造设备出口额同比增长130.7%的推动,对中国的出口额连续第六个月增长17.8%。此前数据显示,截至2024年3月的三个月里,是日本连续第三个季度将至少50%的半导体制造设备出口到中国,原因是中国对成熟制程相关设备的需求激增。日本贸易数据显示,中国占据半导体制造设备、机械零部件以及平面显示面板制造设备出货量的一半。日本5月对美国的出口额增长23.9%,达到1.7万亿日元;对亚洲的整体出口额增长13.6%;对欧盟的出口额下降10.1%。“汽车生产的正常化比最初预期的要长,”Chisato Oshiba谈及到日本一系列的汽车测试丑闻,“对欧洲的出口尤其如此,经济放缓导致对欧洲的出口下降。而对美国出口则呈现出稳步、渐进的复苏。”
  • 行业应用|半导体行业发展&解决方案
    8月11日,第十一届(2023年)中国电子专用设备工业协会半导体设备年会暨产业链合作论坛在无锡落下帷幕。参会专家指出,国产半导体设备已经取得突破性进展,海外设备进口和本土设备销售呈现“此消彼长”态势,上半年半导体相关行业制造业增长比较快,半导体器件专用设备制造业增加值增长30.9%。并预计2023年国产主要半导体设备制造商订单有望稳步增长。 中国电子专用设备工业协会理事长、北方华创董事长赵晋荣表示,10余年来中国半导体设备市场规模持续发展,尤其是近几年,中国本土设备取得了较大进步。数据来源:浙商证券研究所 虽然国产半导体设备在诸多领域实现了从0到1的突破,但是关键设备、零部件以及满足特殊工艺生产需求的国产半导设备依旧缺乏,良率、稳定性等还待进一步提升,在全球半导体设备市场的规模依旧偏小。 中国电子专用设备工业协会常务副秘书长金存忠指出,国产半导体设备进一步发展,还亟需解决光刻机等关键设备国产化率低等问题,目前国产制造商能够制造的主要是先进封装和LED领域的光刻机,而且光刻机的难点不仅在制造出来,还要保证设备的效率和良率。另外,关键零部件成本在设备进口成本占比较大等问题,有的厂商反馈零部件成本占比高达六成。  作为半导体设备终端用户,半导体制造厂商尤为关注生产效率和良率,就需要设备厂商与产业链进一步合作,攻关克难。“没有95%以上的良率、没有把成本降下来,企业是不能生存的,而且生产的越多,亏损的越多。”中国电子专用设备工业协会副秘书长、积塔半导体(上海)有限公司总工程师李晋湘表示,建议半导体制造企业和设备企业、材料厂商等进一步深化合作,推动工艺持续进步,不仅攻克具有经济效益规模而难度小的设备,也要挑战量小而难度大的设备,并且加强半导体设备的配套软件开发,建立和完善培训系统,让国内工程师能够掌握和使用好本土设备。小编也在这里整理了半导体行业相关优质解决方案供大家参考:集成电路检测方案:1、等离子清洗 仪处理 TEM透射电镜样品清洗和活化2、4H-SiC中EPI厚度,载流子浓度检测方案 3、硅片表面中金属污染物检测方案 4、集成电路制造行业常用有机溶剂中金属杂质检测方案 光电器件解决方案:1、小束斑+特色SnapMap快照成像功能分析SnOx成分半导体器件 2、高分辨光学链路诊断仪OCI+精准测量多分支光链路损耗3、SiC外延片测试方案4、TMC STACIS主动隔振器在抬高的钢支架上的隔振应用传感器解决方案:1、TEC半导体制冷片:热释电系数测试中的正弦波温度控制解决方案更多解决方案 请点击 查 看 ══════════▼▼▼══════════行业应用栏目简介:(http://www.instrument.com.cn/application/ ) 【行业应用】是仪器信息网专业行业导购平台,汇聚了行业内国内外主流厂商的优质分析方法及相应的仪器设备。栏目建立了兼顾国家相关规定和用户习惯的专业分类,涉及食品、药品、环境、农/林/牧/渔、石化、汽车、建筑、医疗卫生等二十余个使用仪器相对集中的行业领域,目前,已经收录行业解决方案6万+篇。
  • 炬光科技投资5亿元:泛半导体制程光子应用解决方案产业基地项目正式开工
    近日,西安炬光科技股份有限公司(以下简称“炬光科技”)在合肥高新区投资的泛半导体制程光子应用解决方案产业基地项目取得《建筑工程施工许可证》,现场同步开工建设。据了解,该项目位于合肥高新区创新大道与柏堰湾路交口东北角,占地面积约39.8亩,计划投资5亿元,建设总建筑面积约7.9万平方米的3栋生产厂房及配套设施。炬光科技表示,将利用其在泛半导体应用领域积累的技术优势和丰富的产品开发经验,在合肥布局固体激光剥离LLO、Mini/Micro LED激光线光斑Reflow、半导体集成电路晶圆退火等公司现有的泛半导体业务以及太阳能电池应用、平板显示固体激光退火SLA、半导体集成电路制程等正在开发的新业务。据公开资料显示,炬光科技成立于2007年,主要从事光子行业上游的高功率半导体激光元器件和原材料、激光光学元器件的研发、生产和销售,重点布局汽车应用、泛半导体制程、医疗健康三大应用方向,向不同客户提供上游核心元器件和中游光子应用解决方案。其中,在泛半导体制程方面,炬光科技布局芯片制程、芯片先进封装激光辅助键合、新型显示三大领域,在新型显示领域已完成Mini LED Repair激光系统的产品迭代开发,同时推进面向客户需求的Micro LED巨量转移激光系统的设计,进入产品开发阶段。发展战略方面,炬光科技形成了以中国为总部、国际化经营的业务布局及发展战略。目前公司在国际化运营方面已经具有经验。截至2023年年底,公司境外资产4.27亿元,占总资产的16.23%。近年来,炬光科技凭借丰富的国际化运营经验,先后成功收购多个国际企业业务。具体包括,2017年,炬光科技成功并购LIMO GmbH,后者是一家位于德国多特蒙德的全球领先微光学和光束整形解决方案制造商;2022年,以3.5亿元人民币收购韩国显示面板及光刻掩膜检测和激光修复技术的设备提供商——COWIN 100%股权。今年,炬光科技又发布了两项重大收购。1月份,炬光科技完成对瑞士微纳光学元器件企业SUSS MicroOptics SA 100%股权的收购,快速提升公司在汽车投影照明领域的发展速度;5月份,宣布拟5000万欧元收购ams OSRAM被动光学元件资产,加速进入汽车照明、消费电子、内窥镜等领域。
  • 泰研半导体完成数千万元A轮融资,专注先进封装半导体设备国产化
    近日,深圳泰研半导体装备有限公司(以下简称“泰研半导体”)获得合创资本投资的数千万元A轮融资,本轮资金将主要用于产品扩产和交付。泰研半导体是先进封装领域的半导体工艺与设备服务商,可为客户提供SiP、 Fanout、 Chiplet、 3D等先进封装产线上 Laser(激光) + Plasma(等离子) + Sputter(镀膜)成套复合工艺与制程应用设备。中美贸易卡脖子情境之下,中国政府大力支持半导体设备国产化发展。在政策及资本的协同助力下,半导体制造商建厂热潮高涨,本土foundry、存储IDM大规模扩产,推动设备市场扩大。中国半导体设备市场的持续增长,及国产替代趋势的加速推进为中国半导体设备厂商提供了巨大的发展空间。根据SEMI数据,2021年半导体设备的全球销售额同比增长45%,增至1030亿美元,创历史新高。传统封装设备市场主要以美日韩三国企业为主导,中国在部分半导体工艺节点的设备供应上尚有性价比不错的供应商,但在高端工艺、先进工艺领域,中国的半导体设备供应能力略显不足。在半导体封装领域,先进封装工艺和传统封装工艺有所不同,先进封装在国内外都处于起步阶段,对于中国来说,面向先进封装的半导体设备具有快速发展的潜力。伴随着半导体工艺越来越逼近物理极限,行业开始探索通过先进封装来提高产品性能、改善产品工艺。据CSIA封装分会2020年报告,国内先进封装产线设备国产化率高达20%-50%以上,国产化率整体高于传统封装产线。目前,泰研半导体有着溅镀设备、激光设备、等离子设备三种类型的封装设备。⭕溅镀设备:在生产大尺寸产品上具备较大优势,可以通过镀膜工艺实现散热、RDL、EMI等功能。泰研拥有自主研发的腔体独立制冷系统、高散热系统、等离子体预处理系统等方面的核心设计能力和批量生产工艺,凭借这些核心能力,泰研的溅镀设备在实施EMI功能时能达到业界领先的高超水平,具体来说其侧壁覆盖率能够达到70%以上,而业内指标普遍在40%左右。⭕激光设备:可为客户提供芯片表面激光打码/读码、芯片切割开槽、3D封装激光钻孔等服务,泰研的激光设备集成了标记与AOI检测,可兼容SECS GEM(SEMI连接性标准E30,可用于设备的通讯和控制)和 RMS(半导体封测设备RMS系统),能提供自有IP的标记、检测、控制一体化软件,且通过创新的光路设计保证高精度和高稳定性。⭕等离子设备:具备基板和晶圆电浆清洗、光刻胶孔渣清洗、RDL线路蚀刻、RMC干蚀刻减薄、WPC等离子晶圆切割等功能,该设备的减薄工艺可以做到翘曲度非常小,能增强封装安全可靠性。半导体生产设备直接影响着半导体产品的最终质量,是整个生产过程中最为核心最为重要的因素。而下游封装厂考虑到自身生产的稳定性和持续性,更倾向于选择具有一定生产规模和知名度的供应商。因此,对于早期的半导体设备供应商来说,进入下游客户的壁垒非常高。半导体设备从产品零部件的设计,到自动入料系统的方向如何与产线上其他产品相匹配等各种细微环节的背后需要大量的行业认知和积累。泰研创始人张少波表示,“在激光标记领域,国内有较多的竞争者,但鲜少有能销售进入到国际顶尖半导体公司的设备企业,而泰研就是其中之一。”泰研的设备通过了包括欧洲工业车规芯片巨头在内的国际客户的严苛认证,符合技术规格要求,产品性能和质量均达到国际领先水平,并且已经开始对外批量供货,这标志着泰研成功打破了半导体设备行业的下游准入壁垒。除此之外,相比传统半导体设备供应商只集中在某几种半导体设备,泰研能够为下游客户提供先进封装产线全套设备的方案规划,帮助客户减少产品配套流程。泰研的这种能力,得益于其优秀的工艺设计能力和行业的深厚积累,目前泰研已将此方案规划业务在多家先进封装工艺的封装厂中开展。泰研半导体目前拥有1500平的工厂,预计本轮融资结束后将开始批量生产。合创资本副总裁刘华瑞博士表示,产业界普遍认为先进封装是目前半导体制造工艺达到物理极限后继续提升芯片功能性能的路径,作为支撑国内先进封装产业发展的坚实上游,泰研半导体的设备产品体系完备,涵盖先进封装产业多个细分领域,泰研团队拥有出众的先进封装工艺设计能力,能够充分发挥自身优势,为国产半导体设备产业发展及国产替代战略落地贡献更多力量。
  • 赛默飞发布新型UltraDry硅漂移(电制冷)探测器
    -- 为NORAN System 7微区分析系统提供最优的探测器尺寸、分析速度和分辨率 中国上海,2012年8月10日 &mdash &mdash 7月30日,科学服务领域的世界领导者赛默飞世尔科技(以下简称:赛默飞)在2012显微镜学和微区分析大会上发布新型赛默飞UltraDry硅漂移(电制冷)X射线探测器。该探测器为同类最优,为金属和矿物、先进材料和半导体等行业应用提供更快速、准确的(微区)X射线分析。它进一步提升了广受赞誉的赛默飞NORAN System 7 X射线微区分析系统的性能。 赛默飞副总裁兼分子光谱和微区分析产品总经理John Sos指出:&ldquo 我们的UltraDry硅漂移(电制冷)探测器在超高的采集速率下具有优异的分辨率,这在当今的纳米技术和先进材料应用分析中是至关重要的!我们对该探测器的卓越改进使我们NORAN System 7系统整体能以最快的速度获得最多的数据。加之使用我们独有的高级数据处理工具 &mdash &mdash COMPASS软件和直接倒相软件,用户可以满怀信心地将其EDS分析结果提升至全新的水平。&rdquo UltraDry硅漂移(电制冷)探测器性能的提升是其设计和技术工艺改进的直接成果。该探测器提升了能量分辨率的界限,在Mn-K&alpha 的能谱谱峰分辨率高达123eV。采用尺寸较小先进的场效应晶体管(FET)与晶体一体化的卓越设计在最大程度上减小了导致电噪声的分布电容。UltraDry探测器能够高效地操控脉冲堆积处理,使其在高速处理中具有最佳的分辨率和最小的死时间比率。无需外部附属设备或液氮制冷。 新型的UltraDry探测器提供宽范围的晶体有效面积选择(10mm2,30mm2,60mm2 和100mm2),并具有先进的窗口工艺技术和独一无二的可分析至元素铍的轻元素完整的分析算法。其他关键特征包括: &bull 旨在使样品至探测器距离最小化和探测器立体角最大化的用户定制设计 &bull 独有的旨在创造最大工作距离范围的垂直开槽的准直器 &bull 操作环境温度至35° C NORAN System 7是非常适用于金属和采矿、先进材料、学术研究、半导体和微电子、失效分析、缺陷审查等材料电子显微微区应用分析的卓越平台! 欲了解更多有关NORAN System 7和UltraDry(电制冷)探测器的信息,请访问网站www.thermoscientific.com。 关于赛默飞世尔科技 赛默飞世尔科技(纽约证交所代码: TMO)是科学服务领域的世界领导者。我们的使命是帮助客户使世界更健康、更清洁、更安全。公司年销售额120亿美元,员工约39,000人。主要客户类型包括:医药和生物技术公司、医院和临床诊断实验室、大学、科研院所和政府机构,以及环境与过程控制行业。借助于Thermo Scientific、Fisher Scientific和Unity&trade Lab Services三个首要品牌,我们将创新技术、便捷采购方案和实验室运营管理的整体解决方案相结合,为客户、股东和员工创造价值。我们的产品和服务帮助客户解决在分析领域所遇到的复杂问题与挑战,促进医疗诊断发展、提高实验室生产力。欲了解更多信息,请浏览公司网站:www.thermofisher.com 关于赛默飞中国 赛默飞世尔科技进入中国发展已有30年,在中国的总部设于上海,并在北京、广州、香港、成都、沈阳等地设立了分公司,目前已有超过1900名员工、6家生产工厂、5个应用开发中心、2个客户体验中心以及1个技术中心,成为中国分析科学领域最大的外资企业。赛默飞的产品主要包括分析仪器、实验室设备、试剂、耗材和软件等,提供实验室综合解决方案,为各行各业的客户服务。为了满足中国市场的需求,目前国内已有6家工厂运营,苏州在建的大规模工厂2012年也将投产。赛默飞在北京和上海共设立了5个应用开发中心,将世界级的前沿技术和产品带给国内客户,并提供应用开发与培训等多项服务;位于上海的中国技术中心结合国内市场的需求和国外先进技术,研发适合中国的技术和产品;遍布全国的维修服务网点和特别成立的维修服务中心,旨在提高售后服务的质量和效率。我们致力于帮助客户使世界更健康、更清洁、更安全。欲了解更多信息,请登录www.thermofisher.cn
  • 英诺德发布INNOTEG TCS-3实验室制冷循环器新品
    【 英诺德简介 】英诺德(Innoteg)是一家专业从事科学仪器设备研发生产的高科技企业,是集实验室设备研发生产、方法开发、实验室仪器销售和技术服务为一体的专业厂家。公司将成为一家管理规范,技术领先,产品优异,服务专业的创新型科技公司为目标,以“创新改变世界”为使命,致力于满足客户更高的需求和中国科学仪器技术的不断进步;英诺德拥有最强大的研发能力,注重前瞻性技术研发,已推出多款科学仪器设备。产品包括: 微波消解仪、气相色谱仪、旋转蒸发仪、顶置式搅拌器、磁力搅拌器、实验室制冷循环器。【 TCS-3 实验室制冷循环器 】Innoteg最新推出的TCS-3第三代制冷恒温器。性能更优异、价格更实惠、 使用更灵活便捷,同时TCS-3对前两代恒温器的功能进行了补充。 TCS-3实验室制冷循环器是实验室的基础恒温设备,为实验室提供了经济环保的小型冷却器解决方案。它具备全新升级的压力/吸力泵,全密闭循环浴槽,免维护升级,节省维护成本。同时具有小体积,更加强劲的功率,智能调节等优点,可灵活配套多种仪器使用。TCS可应用于R &D实验室、制药工业、半导体工业、生物科技、化学反应、医疗技术等领域。● 优异的温度控制温度控制方式是比例控制,自动调节制冷量,降低能源消耗。 ● 高效的循环方式改变循环浴泵体类型,升级为压力 ● 运行状态可监控温度控制和循环运行独立状态显示,同时配有液位观察窗。 ● 多重安全防护设计泵运行状态可以根据外在负载自动调节压力,有效的保护外接设备安全,特别是玻璃组件。操作键盘防水,高亮LED显示屏显示各项参数,多种运行模式可选可持续拓展性 。【 产品参数 】温度范围- 20 ℃~室温温度稳定性±0.3 K温度显示方式LED温度传感器PT 100泵类型压力/吸力制冷功率0.45 KW(10°C)/0.48 KW(20°C)外形尺寸222 x 480 x 495 mm重量25 kg创新点:1.TCS-3实验室制冷循环器首次升级采用强力的免维护压力/ 吸力泵,耐纤维和金属颗粒物质,寿命长。 2.泵压力和流量自动适应外负载,有效的保护了外部设备特别是玻璃件的破损。专门适配旋转蒸发仪。 3.温度降到设定点后会自动调节输出冷量到最低,最大限度的节省能源。 INNOTEG TCS-3实验室制冷循环器
  • 国产非制冷红外成像和太赫兹成像仪器问世
    4年前,刚刚成立的烟台睿创公司决定研制一只&ldquo 火眼金睛&rdquo &mdash &mdash 无论雨雪交加,还是烟尘雾霾,完全不受光线影响的&ldquo 透视眼&rdquo ,看透暗夜中隐藏的秘密。   &ldquo &lsquo 非制冷红外成像&rsquo 及 &lsquo 太赫兹实时成像&rsquo 是一种比孙悟空的&lsquo 火眼金睛&rsquo 更神奇的技术&rdquo ,在研发者看来,它们的&ldquo 神奇&rdquo 之处在于:在战场上,可以探测夜幕掩盖下的目标、显示烟雾中隐藏的坦克 在日常生活中,可以打造车辆的夜视系统 在机场安检中&ldquo 1秒安检扫描全身&rdquo ,也可以&ldquo 验明&rdquo 建筑大楼的&ldquo 瑕疵&rdquo &hellip &hellip   这只&ldquo 眼睛&rdquo 的研制过程究竟有怎样的故事?   &ldquo 红外之眼&rdquo 能看到什么?   正在高速行驶的轿车前方突然窜出一只动物,在能见度只有两三米的情况下,车辆却提前十米刹了车。借助车上的远红外线摄像机,驾驶员能及时识别出人、动物和车辆等不同散热物体 一座建筑的质量&ldquo 瑕疵&rdquo 与节能水平难以用肉眼观察,但通过红外成像技术,检测易如反掌,因为裂缝处与其他地方的温度不同。   &ldquo 借助于目标自身发射的红外辐射来看透肉眼看不到的东西&rdquo ,就是红外成像技术。上述两个例子只是这项技术广泛用途的部分显示。   在军事上,红外热像仪可应用于军事夜视侦查、武器瞄具、夜视导引、红外搜索和跟踪、卫星遥感等多个领域 在民用方面,可以用于材料缺陷的检测与评价、建筑节能评价、设备状态热诊断、生产过程监控、自动测试、减灾防灾&hellip &hellip   这是一个散发着巨大诱惑的市场,也是一个&ldquo 难以高攀&rdquo 的市场&mdash &mdash 核心成像芯片的研制太难了,难到只被西方少数国家掌握,却因其广泛的军事用途被列入技术封锁和产品禁运之列。而国内,围绕着这项&ldquo 高门槛、宽应用&rdquo 的技术,一批国字头科研院所和高新企业展开角逐,其中包括资金、实力并不占优的民营企业睿创公司。   这家公司创业者认为,&ldquo 实际上,红外行业特别是非制冷红外成像行业在中国是一个空白,没有谁真正突破了核心技术,这就给我们同等竞争的机会。&rdquo   企业的嗅觉是最灵敏的,这促使睿创公司招兵买马,试图在这个行业一展身手,&ldquo 成立公司之前,我们没有100%的把握,只有70%。&rdquo 在公司的创业者看来,睿创是民企,&ldquo 没有退路,只能拼命&rdquo :&ldquo 我们把身家性命都押上了,这就是我们的饭碗,做不成就没有饭吃。&rdquo   不过,破釜沉舟的创业者还是没想到,&ldquo 这个领域的&lsquo 水太深了&rsquo 。&rdquo   &ldquo 深不可测&rdquo 的研发大海淹没了谁?   黑夜是光的坟墓,也让人们产生了对光明的渴求,红外成像与红外探测器便应运而生。   在夜视领域,红外探测器是热成像系统的核心,主要分为制冷型和非制冷型。尽管前者被认为是高端应用中的最佳选择,但因为成本居高不下,所以尺寸较小、重量较轻且功耗较低的非制冷红外探测器更获大家青睐。   但制作非制冷红外探测器并不容易。   作为资金密集型和技术密集型产业的代表,睿创的&ldquo 非制冷红外探测器&rdquo 之路首先面临着钱的考验,&ldquo 研发包括几个步骤,从设计开始就要花钱,做芯片肯定要流片,半导体流片需要花钱 这里面的风险在于,如果设计细节稍有不慎,则前功尽弃,整个之前的投入全部废掉 然后,封装、测试,上马设备都需要花钱 在此之外,原材料的费用,人员费用等等都离不开资金的投入&rdquo 。   投钱多、见效慢考验着企业的定力,但找钱还不是最难的,探测器所需要的芯片攻关才是最大挑战,&ldquo 红外焦平面探测器芯片采用IC(集成电路)+MEMS(微机电系统),长期以来,我国电子信息产业一直饱受&ldquo 缺芯&rdquo 之痛,况且,红外成像芯片相对其它芯片来说,复杂程度和研发难度更高&rdquo 。   大浪淘沙,适者生存,&ldquo 深不可测&rdquo 的研发大海检验着研发阵营的成色:那些并未做好准备的投入者,一个接一个被淘汰 剩下的是善水的坚持者。千百次的&ldquo 实验&mdash 失败&mdash 再实验&mdash 再失败&mdash 直到成功&rdquo ,亲历者的刻骨记忆永远比文字记述来得真切,公司负责人一句&ldquo 太不容易了&rdquo ,概括了所有的研发故事。   尽管步履维艰,挑战重重,但&ldquo 非制冷红外探测器&rdquo 的研制还不是这家企业的终极野心。   如何掌握改变未来的技术?   如果问一下联合国维和部队最怕的是什么,路边炸弹是回答之一。防不胜防的路边炸弹,给爱好和平的人们造成的伤亡不绝于耳。在传统威胁面前,高技术也无能为力?比&ldquo 非制冷红外成像技术&rdquo 更为先进的&ldquo 太赫兹成像&rdquo 的穿墙透视能力给出了答案。   太赫兹技术被美国评为&ldquo 改变未来世界的十大技术&rdquo 之一,它可以穿透墙体对房屋内部进行扫描,是复杂战场环境下寻敌成像的理想技术。同时,与耗资较高、作用距离较短、无法识别具体爆炸物的X射线扫描仪相比,太赫兹成像具有独特优势,目前已经初步应用于检查邮件、识别炸药及无损探伤等安全领域。   2013年1月对中国红外行业来说有着标志性意义:这一天,烟台睿创研制的第一代&ldquo 非制冷红外焦平面探测器&rdquo 迎来&ldquo 鉴定大考&rdquo ,&ldquo 国际同类产品先进水平&rdquo 的结论证明了过去3年努力所达到的高度。2014年初,睿创又发布了第二代高性能红外成像探测器产品,关键指标已经优于国外的竞争产品。   公司负责人表示,&ldquo 以前,核心的芯片和器件主要依赖进口,它的价格从几万到十几万不等,我们产品开发成功可以使价格大幅度下降,当前我们看好安防监控和汽车辅助驾驶市场,这个量是非常大的。&rdquo   利用3年时间将非制冷红外探测器打造出来后,这个上进的民企并没有停下脚步,而是瞄准了下一代非制冷红外成像技术与更高难度的太赫兹探测器。   借助在前期非制冷技术的积淀,睿创又开发出了国内首款太赫兹焦平面探测器产品。值得一提的是:经过国外权威机构的测试,该设备的成像芯片指标达到了国际一流水准。   为什么是他们做出来了?   睿创成立短短四年,做出了西方需要十年时间才能做出的产品。公司负责人时常面临的疑问是:你们是如何做出来的?   &ldquo 之所以能取得成功,是因为我们站在巨人的肩上。在调研、分析与总结之前很多伟大科学家与工程技术人员的杰出成果的基础上最终形成了公司自己的核心技术,争取少犯前人犯过的错误&rdquo 。   在关键的环节找关键的人和灵活的用人机制也推动着项目的成功。&ldquo 我觉得成功的重要原因是股东和董事会充分放权,对总经理和研发团队信任。在公司,500万以下的研发资金支出可以不经过董事会 总经理全国各地搜罗产业链条上所需人才,薪金待遇随需而定&rdquo 。   公司近100名员工,研发人才占了50%多,这就是睿创作为研发初创企业的典型特征。记者了解到,这个包括8名博士、34名硕士的研发团队已经在短短4年间取得了26项专利,其中包括6项发明专利。当然,股权激励是必不可少的。公司一旦上市,拥有股权的研发人员也将获得相应的回报。   激励机制和充分放权给企业带来了活力。   眼下,&ldquo 非制冷红外成像&rdquo 和&ldquo 太赫兹成像&rdquo 的技术都已成熟,进入了产业化的&ldquo 前夜&rdquo ,这让睿创公司有了更大的信心:&ldquo 预计我们的一期芯片达产后,年产值可以达到10亿人民币,在二期完工之后,我们可以达到50亿的产值。&rdquo
  • 响应设备更新政策 | 半导体制造工艺、结构与表征解决方案
    半导体制造工艺电动汽车等高新技术领域对高效动力转换的需求与日俱增,碳化硅与氮化镓材料扮演关键性角色,有效降低能耗并提升动力转换效率。牛津通过原子层沉积(ALD)与原子层刻蚀(ALE)技术优化了器件工艺。ALD工艺出色的 AlN/Al2O3/SiO2 钝化薄膜有效降低器件中的阈值电压漂移。而ALE低损伤与原子等级的厚度精准控制更对纳米等级栅槽的形貌达成完美的诠释。 应用案例全自动刻蚀和沉积设备在 3D Sensor 批量生产中的应用原子层沉积(ALD)与原子层刻蚀(ALE)使碳化硅与氮化镓功率器件更高效 提供 MicroLED 芯片制造解决方案 虚拟实境 ARVR 光学衍射组件制造技术 筛选低阈值 FET,用于低功耗低温电子器件半导体结构与表征摩尔“定律”在过去 50 年间持续推动半导体行业向器件小型化趋势发展,对半导体材料、制造工艺和检测技术提出了更高要求。EDS 和 EBSD 技术已被广泛应用于半导体器件的微区结构表征工作,如异物分析、无损膜厚测量、晶粒尺寸分析、应变表征、位错类型及密度分析等。 应用案例研究淀积金属薄膜的晶粒尺寸及均匀性、织构分析化合物半导体外延层中晶体学缺陷的密度对半导体器件中的关键层进行高分辨率元素成像快速分析不同位置薄膜生长表面形貌,提供后续工艺调整方向检测刻蚀前后表面微结构以及表面粗糙度的变化检测半导体晶圆的应力分布GaN 晶体中的应力场 3D 拉曼成像多功能328mm焦长光谱仪,配置UV-NIR探测器,可通过拉曼或者光致发光的方法对晶圆进行应力,翘曲以及缺陷检测
  • Retsch高能球磨仪Emax机械合金法制备半导体合金
    文章摘要: 机械合金化(Mechanical Alloying,简称MA)是指金属或合金粉末在高能球磨机中通过粉末颗粒与磨球之间长时间激烈地冲击、碰撞,使粉末颗粒反复产生冷焊、断裂,导致粉末颗粒中原子扩散,从而获得合金化粉末的一种粉末制备技术。本文以硅锗合金和碲化铋半导体材料合金化制备实验为例,介绍了高能球磨仪Emax的使用方法和技术优势,对合金样品制备的应用有借鉴作用。 传统方法制备不锈钢类合金要求高温下进行熔融,如果需求量很小抑或无法熔融,机械合金法就是一个很好的替代方法,传统上会用行星式球磨仪来完成。上世纪60年代末,美国国际镍公司用机械合金法第一次制备成功耐高温镍铁合金并以此申请专利。机械合金研磨需要有强劲的动能把固体粉末结合在一起,行星式球磨仪产生的高能撞击可以提供所需能量。在研磨球的撞击和挤压下,细粉颗粒会发生塑性形变并且焊合在一起。所以机械合金法可以弥补传统高温熔融无法制备的样品的不足,并且可以制备更大自由度混合比的样品。热电合金材料硅(Si)和锗(Ge)都是最通用常见半导体材料—是光电电池和晶体管产业的基石。硅锗合金材料性质如带隙可以由改变硅和锗混合比例来调整。热电合金材料用于制造航天热偶发电机,保证了空间探索和试验设备的动力供应。在商用热电材料领域,碲化铋(Bi2Te3)因其热电效能转化率高,是研究最多的材料,被用来做半导体制冷元件。 高能球磨仪EmaxEmax的转速能达到每分钟2000转,特殊设计的跑道型研磨罐可以产出更大的粉碎能。结合了高速撞击力和密集摩擦力,高能球磨仪的强劲能量输入可以做快速纳米研磨实验和机械合金应用。跑道型的研磨罐和偏心轮运动方式,有效保证了样品的混合,样品最后不仅可以磨得很细,粒度分布范围也会变很窄。内置水冷管路可以快速带走样品子啊研磨中产生的热量,保护样品免受过高温度影响,从而可以不像行星式球磨仪一样需要间歇停转,大大提高研磨工作效率。如果有更严格的控温需要,Emax还可以外接冷水机,进一步降低研磨温度(最低工作温度不能低于5摄氏度)。 图1:研磨前样品XRD 分析结果 Si(红)Ge(绿)整个扫描范围从10-60°,可以看出Si和Ge晶面特征峰。图2:研磨5小时后XRD分析结果 可以看出晶面特征峰已经偏移和合并,机械合金化已有效果图3:研磨5,8,9小时后XRD分析结果 晶面特征峰值会有所变窄和迁移,显示5-6小时的反应后机械合金反应已经基本完成原来硅和锗的机械合金化反应用是用行星式球磨仪进行的,但是会有很多问题导致结果不尽如人意。行星式球磨仪需要至少80分钟才能把样品处理到可以进行机械合金化的初始细度,接下来即使用中低转速400转/分也会导致样品在研磨罐中结块,无法使用其全部能量来进行机械合金反应。另一个问题是研磨罐过热需要间歇,在整个13小时的反应时间中需要额外加入至少90分钟停止时间。而高能球磨仪Emax自带水冷功能,高速运行也无需间歇,没有样品结块的现象,同时还大大提高了反应效率。 图4: 图 5:Bi和Te机械合金反应 1小时后XRD分析结果 图4为球料比10:1 (体积比)图5为球料比5:1(体积比) 机械合金法制备硅锗合金硅锗合金比为SI 3.63克 Ge2.36克,用50ml碳化钨研磨罐,10mm碳化钨研磨球8个(球料比10:1)。硅料和锗料的原始尺寸为1-25mm和4mm。2000转/分20分钟后,样品已经微粉化无结块现象。接下来1200转/分 9个小时(每隔1小时中间间歇1分钟后反转样品以避免样品结块)。机械合金反应前20分钟样品做了XRD定性和定量分析,Si和Ge的特征峰值都可以很清晰地辨认出来,说明碳化钨球几乎没有产生摩擦效应。在整个反应过程中合金始终保持微粉化,Emax的温度没有超过30℃。经过9个小时的反应后,整个样品基本消除了不定形态,呈微晶状态。机械合金法制备碲化铋研究不同球料比(10:1或5:1)对反应的影响,50ml 不锈钢研磨罐, 10mm不锈钢研磨球 10个。 球料比10:1的罐子中加入2.09克Bi和1.91克Te。 球料比5:1的罐子中加入4.18克Bi和3.83克Te。800转/分 70分钟(每10分钟间歇1分钟并反转),结果做了XRD分析。在经过近1小时机械合金研磨,Bi和Te的特征峰都有明显可辨的偏移,显示化合物Bi2Te3开始形成。球料比10:1的样品形成速度比5:1的更快,因为5:1样品中Te的特征峰值强度更大,说明10:1样品中的Te反应地更多。合金反应继续1200转/分3小时后,没有样品结块。和原来用混合研磨仪1200转/分 6.5小时制备相比,高能球磨仪Emax只需要2-3个小时候就能轻松完成任务。
  • 德国Greateyes全新平台Alex!全帧、深度制冷CCD 相机
    全帧转移,深度制冷,高性能科研级CCD 相机全新平台ALEX,这是德国greateyes为您提供的新平台 ,适用于在VUV,EUV,软X射线和硬X射线范围中的光谱和成像应用。ALEX集成了先进的低噪声电子设备和超深冷却技术,同时保持了紧凑的相机设计。可以选择多种读出速度,以支持从50KHz到5 MHz的像素速率。真正的18bit AD转换允许利用CCD传感器的全部动态范围,以实现高性能和SNR。ALEX非常适合用于探测弱信号,这种情况下低的本底噪声是非常重要的。ALEX为您的科学研究提供了前所未有的可能性。下图是由Max Born Institute的成像和相干X射线小组与柏林Helmholtz-Zentrum(BESSY)的X射线显微术部门合作,使用ALEX得到的硅藻在软X射线显微镜下纳米图像。主要特点• 超低温半导体制冷系统(-100°)产生极低的暗电流来达到更佳检测限• 千兆以太网GigE 及 USB 3.0 数据接口您可选择本地或远程进行操作• 高达 98% 的量子效率灵敏的传感器适合弱光应用• 用户可选择增益在优信噪比和动态范围间平衡传感器• 快速读取速度可达5MHz高帧率搭配低噪声电子系统• 灵活的软件选项多种 软件或各类开发包 SDK可选光谱应用成像应用ALEXsALEXiEUV光刻技术软x射线光谱近边精细吸收光谱等离子体发射光谱高谐波光谱共振非弹性x射线散射X射线断层扫描成像傅里叶变换全息术X光透射成像相干衍射成像叠层衍射显微光谱成像掠入射小角度x射线散射典型型号ALEXs系列ALEX1024x256ALEX 2048x512芯片种类FIFI DDBI UV1BI DDFIBIBI UV1像素规格1024 × 2562048 × 512感光区域26.6 mm × 6.7 mm27.6 mm × 6.9 mm像素尺寸26 μm × 26 μm13.5 μm × 13.5 μm(图片为4096x4096)ALEXi系列ALEX 1024 x1024ALEX 2048x2048ALEX4096x4096芯片种类FIBI/BI DDBI UV1FIBI/BI DDBI UV1BIBI UV1像素规格1024 × 10242048 × 20484096 × 4096感光区域13.3 mm × 13.3 mm27.6 mm × 27.6 mm61.4 mm × 61.4 mm像素尺寸13 μm × 13 μm13.5 μm × 13.5 μm15 μm × 15 μm量子效率曲线★ 可选/定制配置 ★01不同型号法兰02芯片倾斜角度/突出03快门等机械配置04软件及SDK特殊开发客户发表文章不断在勤奋、专业、精益求精和追求卓越的Greateyes团队的共同努力下,继发布适用于紫外-可见-近红外波段的全帧转移、深度制冷科研级CCD相机:ELSE系列和适用于在VUV,EUV,软X射线和硬X射线波段的全帧转移、深度制冷科研级CCD相机:Alex系列。同时我们相机在客户现场也表现卓越,仅仅在2020年初就主力了4片论文的发表。简要信息如下:1. Arikkatt, A., et al. "Spectral Investigation of Laser Plasma Sources for X-Ray Coherence Tomography." Acta Physica Polonica, A. 137.1 (2020).波兰军事科技大学光电子研究所的A. Arikkatt团队对于专用于X射线相干断层成像研究所的激光驱动高原子序数等离子源辐射的EUV和SXR光谱进行了研究。该源使用了4ns,650mj的激光器来驱动双气体靶的结构。坐着使用了三个光谱仪来表征1-70nm的辐射光谱:掠入射光谱仪用于测试1-5nm和10-70两个波、透射光栅光谱仪用于测试4-16nm波段。作者标定了光源适用于SXR和EUV相干断层层析实验的波段。整个实验装置非常紧凑,约1.5m*1.5m,非常适用于实验室环境。2. Varvarezos, Lazaros, et al. "Soft x-ray photoabsorption spectraof photoionized CH4 and CO2 plasmas." Journal of Physics B: Atomic, Molecular and Optical Physics 53.4 (2020): 045701.爱尔兰都柏林城市大学和波兰军事科技大学的研究团队对中性甲烷和二氧化碳分子及它们的光电离等离子体的软X射线的吸收光谱进行了测量。SXR是激光驱动双气体靶产生的。在低的软X射线强度下,吸收光谱中只有与中性分子有关的特征。另一方面,随着辐射强度的增加,我们在光谱的低量一侧观察到新的吸收特征。在这种情况下,中性和电离的分子、原子和原子离子等碎片对等离子体的吸收光谱有贡献。作者还提到,这是首次利用这种激光等离子体为基础的SXR源用于创建和探测分子等离子体。重点是确定片段种类和相应的转变。3. Wachulak, P., et al. "EXAFS of titanium L III edge using a compact laboratory system based on a laser-plasma soft X-ray source." Applied Physics B 126.1 (2020): 11.作者利用激光等离子体软x射线源建立的小型实验室系统,对钛在LIII吸收边缘附近的扩展x射线吸收精细结构(EXAFS)光谱进行了研究。使用激光激发氪气/氦气双流充气靶等离子辐射源,其光谱范围优化为200 ~ 700 eV。在EXAFS研究中,宽的SXR谱和高的光子通量是必不可少的。实验装置保证了同时获取参考光谱和吸收光谱。用掠入射平场谱仪记录了它们的光谱。薄(200纳米厚)钛样品的吸收光谱揭示了EXAFS区域的特征,可以相当准确地测定原子间的径向距离。结果与基于光电子波函数散射的数值模拟输出及同步加速器源的数据吻合较好。这证实了这种光源,在标准的EXAFS方法中的适用性。4. Baumann, Jonas, et al. "Toroidal multilayer mirrors for laboratory soft X-ray grazing emission X-ray fluorescence." Review of Scientific Instruments 91.1 (2020): 016102.作者报道了一种用应用于激光驱动等离子体(LPP)射线源的超环面多层膜镜片的设计,并对镜片进行了表征。将此种镜片与已有光源耦合后在热电掺杂金氧化铜纳米膜上实现了无扫描掠射x射线荧光测量。德国Greateyesgreateyes开发、生产并销售高性能科学相机。其作为精确探测器,被广泛应用于成像与谱学应用领域。同时,greateyes公司也生产用于太阳能产业的电致荧光与光致荧光检测系统。成立于2008年的greateyes,以德国柏林洪堡大学的技术为基础,迅速发展成为国际知名的先进探测器生产企业。如今,其科研与工业客户群体已遍布多个国家。About us:北京众星联恒科技有限公司作为Greateyes公司中国区授权总代理商(EUV-SXR-X ray range),为中国客户提供Greateyes所有产品的售前咨询,销售及售后服务。我司始终致力于为广大科研用户提供专业的x射线产品及解决方案。
  • J.T.Baker发布半导体制造残留物清除新方案
    J.T.Baker于2010年7月在SEMICON® West 2010(July 13-15, in San Francisco)发布一项新方案,以改善用于半导体制造的残留物清除工艺。   这项功能强大的新解决方案——BAKER CLk™ -168 post-ash cleaner,是MBI的最新产品,用于解决半导体的post-ash残留去除。   详情全文请见以下链接:http://www.mallbaker.com/news/documents/MBI_CLk_168.pdf   关于J.T.Baker   杰帝贝柯化工产品贸易(上海)有限公司(JTBs)于2009年正式成立,是美国MallinckrodtBaker Inc的全资子公司。MallinckrodtBaker Inc拥有的J.T.Baker和Mallinckrodt 两大品牌有130多年的历史,其化学品领域的高品质产品,最优化的应用方案和功能性检测可以满足客户的高端应用需求,并确保高精度和高重现性的结果。
  • 打造半导体制造薄膜沉积设备领军企业 拓荆科技助力产业链发展
    目前的科创板上市公司中,大都是各自领域的“领跑者”,即将正式登陆科创板的拓荆科技股份有限公司(以下简称“拓荆科技”、“公司”)就是典型代表。  拓荆科技成立于2010年4月,是辽宁省及沈阳市重点培育的上市后备企业和中国半导体设备五强企业,主要从事高端半导体专用薄膜沉积设备的研发、生产以及技术服务,产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,是目前国内唯一一家产业化应用的集成电路PECVD、SACVD设备厂商。公司产品已广泛应用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内晶圆厂14nm及以上制程集成电路制造产线,在不同种类芯片制造产线的多道工艺中得到商业化应用。同时已展开10nm及以下制程产品验证测试,在研产品已发往国际领先晶圆厂参与其先进制程工艺研发。  薄膜沉积设备技术门槛高,研发难度大。拓荆科技立足自主创新,先后承担多项国家重大科技专项课题,在半导体薄膜沉积设备领域积累了多项研发及产业化的核心技术,并达到国际先进水平。其中,公司先进的薄膜工艺设备设计技术、反应模块架构布局技术、半导体制造系统高产能平台技术等核心技术,不仅解决了半导体制造中纳米级厚度薄膜均匀一致性、薄膜表面颗粒数量少、快速成膜、设备产能稳定高速等关键难题,还在保证实现薄膜工艺性能的同时,提升客户产线产能,减少客户产线的生产成本。  拓荆科技的产品已基本全面实现了我国芯片制造产业在介质薄膜沉积设备领域摆脱对海外厂商的依赖,补强了我国在集成电路产业链关键环节的实力,为我国建立芯片体系贡献力量。  公司聚焦的半导体薄膜沉积设备与光刻机、刻蚀机共同构成芯片制造三大主设备。拓荆科技经过十多年的技术积累,已形成覆盖二十余种工艺型号的薄膜沉积设备产品,可以适配国内最先进的28/14nm逻辑芯片、19/17nm DRAM芯片和64/128层3D NAND FLASH晶圆制造产线,满足下游集成电路制造客户对于不同材料、不同芯片结构薄膜沉积工序的设备需求。其中,PECVD设备已全面覆盖逻辑电路、DRAM存储、FLASH闪存集成电路制造各技术节点产线多种通用介质材料薄膜沉积工序,并研发了LokⅠ、LokⅡ、ACHM、ADCⅠ等先进介质材料工艺,一举打破了薄膜沉积设备长时间被欧美和日本厂商垄断的局面。凭借长期技术研发和工艺积累,拓荆科技已经成为可与国际巨头直接竞争的半导体高端设备制造厂商。  作为注册制改革的“试验田”和定位于支持“硬科技”产业的融资板块,科创板成立近三年以来,基础制度不断完善,上市条件的包容度和适应性不断提升和增强,吸引了一大批硬科技企业选择到科创板发行融资,其中不乏大量尚未盈利、存在特殊股权结构的硬科技企业。在科创板这块“试验田”支持硬科技发展的示范引领作用下,拓荆科技选择到科创板发行股票上市获得融资支持,持续加大研发投入。  拓荆科技在科创板发行上市主要是为开展配适10nm以下制程的PECVD产品研发、开发Thermal ALD和大腔室PE ALD,以及升级SACVD设备,研发12英寸满足28nm以下制程工艺需要的SACVD设备募集社会资金,并借助募集资金开发中国台湾市场。在加强产品技术研发的同时,拓荆科技上市后,还将逐步培育和完善国内相关产业链,通过与国内供应商的深度合作与磨合,推动设备关键部件的开发及验证,提高设备零部件的国产化率以及产品品质。同时,公司还将利用国产设备厂商的综合优势,为客户提供定向的技术开发与服务,以此助力半导体产业链发展,保障产业链的技术先进性。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制