当前位置: 仪器信息网 > 行业主题 > >

常用半导体器

仪器信息网常用半导体器专题为您提供2024年最新常用半导体器价格报价、厂家品牌的相关信息, 包括常用半导体器参数、型号等,不管是国产,还是进口品牌的常用半导体器您都可以在这里找到。 除此之外,仪器信息网还免费为您整合常用半导体器相关的耗材配件、试剂标物,还有常用半导体器相关的最新资讯、资料,以及常用半导体器相关的解决方案。

常用半导体器相关的资讯

  • 盘点|半导体常用失效分析检测仪器
    失效分析是芯片测试重要环节,无论对于量产样品还是设计环节亦或是客退品,失效分析可以帮助降低成本,缩短周期。常见的半导体失效都有哪些呢?下面为大家整理一下:显微镜分析OM无损检测金相显微镜OM:可用来进行器件外观及失效部位的表面形状,尺寸,结构,缺陷等观察。金相显微镜系统是将传统的光学显微镜与计算机(数码相机)通过光电转换有机的结合在一起,不仅可以在目镜上作显微观察,还能在计算机(数码相机)显示屏幕上观察实时动态图像,电脑型金相显微镜并能将所需要的图片进行编辑、保存和打印。金相显微镜可供研究单位、冶金、机械制造工厂以及高等工业院校进行金属学与热处理、金属物理学、炼钢与铸造过程等金相试验研究之用,实现样品外观、形貌检测 、制备样片的金相显微分析和各种缺陷的查找等功能。体视显微镜OM无损检测体视显微镜,亦称实体显微镜或解剖镜。是一种具有正像立体感的目视仪器,从不同角度观察物体,使双眼引起立体感觉的双目显微镜。对观察体无需加工制作,直接放入镜头下配合照明即可观察,成像是直立的,便于操作和解剖。视场直径大,但观察物要求放大倍率在200倍以下。体视显微镜可用于电子精密部件装配检修,纺织业的品质控制、文物 、邮票的辅助鉴别及各种物质表面观察等领域,实现样品外观、形貌检测 、制备样片的观察分析、封装开帽后的检查分析和晶体管点焊检查等功能。X-Ray无损检测X-Ray是利用阴极射线管产生高能量电子与金属靶撞击,在撞击过程中,因电子突然减速,其损失的动能会以X-Ray形式放出。而对于样品无法以外观方式观测的位置,利用X-Ray穿透不同密度物质后其光强度的变化,产生的对比效果可形成影像,即可显示出待测物的内部结构,进而可在不破坏待测物的情况下观察待测物内部有问题的区域。X-Ray可用于产品研发,样品试制,失效分析,过程监控和大批量产品观测等,实现观测DIP、SOP、QFP、QFN、BGA、Flipchip等不同封装的半导体、电阻、电容等电子元器件以及小型PCB印刷电路板,观测器件内部芯片大小、数量、叠die、绑线情况,芯片crack、点胶不均、断线、搭线、内部气泡等封装缺陷,以及焊锡球冷焊、虚焊等焊接缺陷等功能。C-SAM(超声波扫描显微镜)无损检测超声扫描显微镜是一种利用超声波为传播媒介的无损检测设备。在工作中采用反射或者透射等扫描方式来检查材料内部的晶格结构,杂质颗粒、夹杂物、沉淀物、内部裂纹、分层缺陷、空洞、气泡、空隙等。I/V Curve量测可用于验证及量测半导体电子组件的电性、参数及特性。比如电压-电流。集成电路失效分析流程中,I/V Curve的量测往往是非破坏分析的第二步(外观检查排在第一步),可见Curve量测的重要性。I/V Curve量测常用于封装测试厂,SMT领域等,实现Open/Short Test、 I/V Curve Analysis、Idd Measuring和Powered Leakage(漏电)Test功能。SEM扫描电镜/EDX能量弥散X光仪(材料结构分析/缺陷观察,元素组成常规微区分析,精确测量元器件尺寸)扫描电镜(SEM)SEM/EDX(形貌观测、成分分析)扫描电镜(SEM)可直接利用样品表面材料的物质性能进行微观成像。EDX是借助于分析试样发出的元素特征X射线波长和强度实现的,根据不同元素特征X射线波长的不同来测定试样所含的元素。通过对比不同元素谱线的强度可以测定试样中元素的含量。通常EDX结合电子显微镜(SEM)使用,可以对样品进行微区成分分析。在军工,航天,半导体,先进材料等领域中,SEM/EDX(形貌观测、成分分析)扫描电镜(SEM)可实现材料表面形貌分析,微区形貌观察,材料形状、大小、表面、断面、粒径分布分析,薄膜样品表面形貌观察、薄膜粗糙度及膜厚分析,纳米尺寸量测及标示和微区成分定性及定量分析等功能EMMI微光显微镜微光显微镜(Emission Microscope, EMMI)是常用漏电流路径分析手段。对于故障分析而言,微光显微镜(Emission Microscope, EMMI)是一种相当有用且效率极高的分析工具。主要侦测IC内部所放出光子。在IC元件中,EHP(Electron Hole Pairs)Recombination会放出光子(Photon)。如在P-N结加偏压,此时N阱的电子很容易扩散到P阱,而P的空穴也容易扩散至N,然后与P端的空穴(或N端的电子)做EHP Recombination。在故障点定位、寻找近红外波段发光点等方面,微光显微镜可分析P-N接面漏电;P-N接面崩溃;饱和区晶体管的热电子;氧化层漏电流产生的光子激发;Latch up、Gate Oxide Defect、Junction Leakage、Hot Carriers Effect、ESD等问题Probe Station 探针台测试探针台主要应用于半导体行业、光电行业。针对集成电路以及封装的测试。 广泛应用于复杂、高速器件的精密电气测量的研发,旨在确保质量及可靠性,并缩减研发时间和器件制造工艺的成本,可用于Wafer,IC测试,IC设计等领域。FIB(Focused Ion beam)线路修改FIB(聚焦离子束,Focused Ion beam)是将液态金属离子源产生的离子束经过离子枪加速,聚焦后照射于样品表面产生二次电子信号取得电子像,此功能与SEM(扫描电子显微镜)相似,或用强电流离子束对表面原子进行剥离,以完成微、纳米级表面形貌加工。在工业和理论材料研究,半导体,数据存储,自然资源等领域,FIB可以实现芯片电路修改和布局验证、Cross-Section截面分析、Probing Pad、 定点切割、切线连线,切点观测,TEM制样,精密厚度测量等功能。失效分析前还有一些必要的样品处理过程。取die用酸法去掉塑封体,漏出die decap(开封,开帽)利用芯片开封机实现芯片开封验证SAM,XRAY的结果。Decap即开封,也称开盖,开帽,指给完整封装的IC做局部腐蚀,使得IC可以暴露出来,同时保持芯片功能的完整无损,保持 die,bond pads,bond wires乃至lead-frame不受损伤,为下一步芯片失效分析实验做准备,方便观察或做其他测试(如FIB,EMMI), Decap后功能正常。化学开封Acid DecapAcid Decap,又叫化学开封,是用化学的方法,即浓硫酸及发烟硝酸将塑封料去除的设备。通过用酸腐蚀芯片表面覆盖的塑料能够暴露出任何一种塑料IC封装内的芯片。去除塑料的过程又快又安全,并且产生干净无腐蚀的芯片表面。研磨RIERIE是干蚀刻的一种,这种蚀刻的原理是,当在平板电极之间施加10~100MHZ的高频电压(RF,radio frequency)时会产生数百微米厚的离子层(ion sheath),在其中放入试样,离子高速撞击试样而完成化学反应蚀刻,此即为RIE(Reactive Ion Etching)。 自动研磨机自动研磨机适用于高精微(光镜,SEM,TEM,AFM,ETC)样品的半自动准备加工研磨抛光,模块化制备研磨,平行抛光,精确角抛光,定址抛光或几种方式结合抛光,主要应用于半导体元器件失效分析,IC反向等领域,实现断面精细研磨及抛光、芯片工艺分析、失效点的查找等功能。 其可以预置程序定位切割不同尺寸的各种材料,可以高速自动切割材料,提高样品生产量。其微处理系统可以根据材料的材质、厚度等调整步进电动机的切割距离、力度、样品输入比率和自动进刀比率等。去金球 De-gold bump,去层,染色等,有些也需要相应的仪器机台,SEM可以查看die表面,SAM以及X-Ray观察封装内部情况以及分层失效。除了常用手段之外还有其他一些失效分析手段,原子力显微镜AFM ,二次离子质谱 SIMS,飞行时间质谱TOF - SIMS ,透射电镜TEM , 场发射电镜,场发射扫描俄歇探针, X 光电子能谱XPS ,L-I-V测试系统,能量损失 X 光微区分析系统等很多手段,不过这些项目不是很常用。芯片失效分析步骤:1、非破坏性分析:主要是超声波扫描显微镜(C-SAM)--看有没delamination,xray--看内部结构,等等;2、电测:主要工具,万用表,示波器,sony tek370a3、破坏性分析:机械decap,化学 decap芯片开封机4、半导体器件芯片失效分析 芯片內部分析,孔洞气泡失效分析(原作者:北软失效分析赵工)
  • 半导体行业湿电子化学品常用检测仪器及技术盘点
    湿电子化学品是半导体、集成电路等多个领域的重要基础性关键化学材料,是当今世界发展速度较快的产业领域。我国湿电子化学品2012年市场规模仅为34.81亿元,到2018年已增至79.62亿元,而2021年湿电子化学品市场规模预计超过100亿元。湿电子化学品(又称电子级试剂、超净高纯化学试剂、工艺化学品、湿化学品等)一般主体成分纯度大于99.99%,是电子行业湿法制程的关键材料,常用于湿法刻蚀、清洗等微电子、光电子湿法工艺制程,约占集成电路制造成本的5%。湿电子化学品湿电子化学品可分为通用性湿电子化学品和功能性湿电子化学品。通用湿电子化学品一般为单组份、单功能、被大量使用的液体化学品,包括酸、碱、有机溶剂等,常用于集成电路、液晶显示器、太阳能电池、LED制造工艺等;功能湿电子化学品指通过复配手段达到特殊功能、满足制造中特殊工艺需求的复配类化学品,包括蚀刻液、清洗液、光刻配套试剂等,常用于半导体刻蚀、清洗等工艺中。常见湿电子化学品(数据自中国电子材料行业协会)类别湿电子化学品约占湿电子化学品总需求比例(%)合计占比估计通用湿电子化学品过氧化氢16.70%88.20%氢氟酸16%硫酸15.30%硝酸14.30%磷酸8.70%盐酸4.80%氢氧化钾3.80%氨水3.70%异丙酮2.80%醋酸1.90%功能湿电子化学品MEA等极佳溶液3.20%11.80%显影液(半导体用)2.70%蚀刻液(半导体用)2.20%显影液(液晶面板用)1.60%剥离液(半导体用)1.20%缓冲刻蚀液(BOE)0.90%湿电子化学品的国际分类标准国际半导体设备和材料协会(SEMI)根据金属杂质、控制粒径、颗粒个数和应用范围等制定了湿电子化学品国际等级分类标准。Grade1等级湿电子化学品常用于光伏太阳能电池等领域;Grade2等级湿电子化学品常用于平板显示、LED、分立器件等领域;Grade3等级湿电子化学品常用于平板显示、LED、集成电路等;Grade4等级湿电子化学品常用于集成电路等领域。 IC制造不同线宽对应湿电子化学品国际等级分类标准SEMI等级IC线宽(μm)金属杂质(10-9)控制粒径(μm)颗粒(个/mL)C1(Grade1)>1.2≤1000≤1≤25C7(Grade2)0.8-1.2≤10≤0.5≤25C8(Grade3)0.2-0.6≤1≤0.5≤5C12(Grade4)0.09-0.2≤0.1≤0.2*Grade5*≤0.01**国际湿电子化学品市场国际湿电子化学品市场份额的80%主要被德国的E.Merck 公司、美国的Ashland 公司、Sigma-Aldrich 公司、Mallinckradt Baker 公司、日本的Wako 、Summitomo 等占据。欧美传统老牌企业的湿电子化学品产品市场份额(以销售额计)约为34%,主要企业有德国巴斯夫公司、美国亚什兰集团、亚什兰化学公司、美国Arch 化学品公司、美国霍尼韦尔公司、AIR PRODUCTS、德国E.Merck 公司、美国Avantor Performance Materials 公司、ATMI 公司等。日本企业约占30%的市场份额,主要企业关东化学公司、三菱化学、京都化工、日本合成橡胶、住友化学、和光纯药工业(Wako)、stella-chemifa 公司等。中国台湾、韩国、中国大陆企业(即内资企业)约占全球市场份额的35%。全球湿电子化学品行业主要企业国家及地区企业名称美国霍尼韦尔、ATMI、Arch化学品、亚仕兰集团、空气化工产品、Avantor™ Performance Materials德国巴斯夫、汉高、E.Merck日本关东化学、三菱化学、京都化学、东京应化、住友化学、宇部兴产、Stella Chemifa、Wako、日本合成橡胶韩国东友精细化工、东进世美肯、soulbrain ENG中国台湾台湾联仕电子、台湾侨力 国内湿电子化学品研究 自1980 年北京化学试剂研究所在国内率先研制成功适合5µm技术用的MOS级试剂开始,经过数十年积累,国内湿电子化学品企业陆续获得了 G1、G2 等级的化学试剂生产技术,少数部分技术领先企业已经具备 G2 等级化学试剂规模化生产的能力,部分产品的关键技术指标已经达到了国际G3 标准的水平。2010 年之后,技术领先企业的部分产品具备了 G3 等级的生产技术,行业进入快速发展阶段。国内的湿电子化学品目前主要生产G2、G3级别,仅部分达到G4级别,产品主要进口自欧美、日本、韩国、中国台湾的企业。湿电子化学品常用检测仪器与技术湿电子化学品的纯度和洁净度对于电子元器件产品的成品率、性能和可靠性有重要影响。仪器信息网特将湿电子化学品纯度及杂质分析和颗粒检测常用的仪器进行整理。湿电子化学品常用检测仪器常用仪器用途对应仪器专场(点击进入)粒度仪颗粒分析等粒度仪仪器专场电感耦合等离子体—质谱仪(ICP-MS)纯度和杂质分析等电感耦合等离子体—质谱仪(ICP-MS)仪器专场离子色谱纯度和杂质分析等离子色谱仪器专场电位滴定仪纯度和杂质分析等电位滴定仪仪器专场紫外可见分光光度计纯度和杂质分析等紫外可见分光光度计仪器专场液相色谱纯度和杂质分析等液相色谱仪器专场液质联用纯度和杂质分析等液质联用仪器专场
  • 半导体行业常用的十五类材料检测科学仪器与技术盘点
    自中美贸易战以来,国家对于半导体行业的重视日渐提升。为避免关键技术被“卡脖子”,国家大力推动半导体行业的发展,先后发布了《国务院关于印发新时期促进集成电路产业和软件产业高质量发展若干政策的通知》、《关于促进集成电路产业和软件产业高质量发展企业所得税政策的公告》等政策,从财税政策、投融资政策、研究开发政策、进出口政策、人才政策、知识产权政策、市场应用政策、国际合作政策等多个层面支持国内半导体行业的自主创新。半导体材料主要包括第一代半导体材料(Si等)、第二代半导体材料(砷化镓GaAs、锑化铟InSb等)、第三代半导体材料(碳化硅SiC、氮化镓GaN、氧化锌ZnO、金刚石、氮化铝等),以及在半导体工艺环节必须用到的特种气体、靶材、光刻胶、显影液、抛光液和抛光垫、键合胶、电镀液、清洗液、刻蚀液、研磨材料、掩模版、光阻材料等。其中,大部分半导体材料依赖于对外进口,目前主要进口自美国、日本、韩国等。表1 热门半导体材料主要进口国家及地区主要半导体材料主要进口国家及地区硅片等日本、德国、韩国、美国、中国台湾砷化镓GaAs等日本碳化硅SiC等美国、欧洲特种气体美国、德国、法国、日本靶材美国、日本光刻胶中国台湾、日本、美国抛光液和抛光垫美国、日本、韩国研磨材料美国掩模版日本湿电子化学品德国、美国、日本、韩国、中国台湾光阻材料日本封装材料中国台湾半导体材料的晶体结构和缺陷杂质都将对半导体器件的性能产生较大的影响,因此半导体材料的检测对于成品质量具有至关重要的意义,以下整理了半导体检测中用到的主要科学仪器及其在半导体领域的应用。表 半导体检测仪器和用途半导体检测仪器与技术(点击下方仪器进入专场)在半导体领域的应用光学测量仪器外延层厚度测量、测定元素含量、用于高纯气体分析等电学测量仪器(四探针、三探针、扩展电阻、C-V法、霍尔测量)测量电阻率、载流子浓度、导电类型、迁移率、寿命及载流子浓度分布等X射线衍射仪缺陷及形貌观察(无损检测),检测二次缺陷的形成和消除等金相显微镜观察晶体缺陷等俄歇电子能谱表面层原子成分、含量、化学键合状态分析等二次离子质谱杂质检测等扫描电镜微区形貌观察,成分、结构分析,失效分析,缺陷检测等透射电镜半导体晶体缺陷分析等原子吸收分光光度痕量杂质检测等气相色谱气体分析高频电感耦合等离子体发射光谱微量成分分析等离子束用于分析离子注入层和外延层损伤、定位等离子探针用于薄层分析、微区分析、测量浓度分布,分析痕量杂质等电子探针成分分析等以上列举了半导体行业用到的热门半导体材料和检测仪器,日后仪器信息网也将对半导体检测解决方案进行盘点敬请期待。
  • 积塔半导体“用于制造半导体装置的方法以及半导体装置”专利公布
    天眼查显示,上海积塔半导体有限公司“用于制造半导体装置的方法以及半导体装置”专利公布,申请公布日为2024年7月19日,申请公布号为CN118366850A。背景技术与硅(Si)相比,作为第三代半导体材料代表的碳化硅(SiC)具有大禁带宽度、高临界击穿电场、高热导率、高载流子饱和漂移速率和强抗辐照性等更优越的电气特性。凭借SiC的电气特性,能够开发出更适用于高压、高温、高频、强辐射等应用领域的半导体装置,其中,SiC金属氧化物半导体场效应晶体管(Metal Oxide Semiconductor Field-EffectTransistor,MOSFET)更是倍受关注。常用在高压低功耗场景下的SiC MOSFET分为沟槽型SiC MOSFET和平面型SiCMOSFET。垂直结构的平面型SiC MOSFET由于存在结型场效应晶体管(Junction Field-Effect Transistor,JFET)区,使得半导体装置的输出直流电阻较大,限制了半导体装置的功率阈值。此外,平面型SiC MOSFET有着因沟道离子注入导致的沟道迁移率退化问题。相比于传统的平面型SiC MOSFET,沟槽型SiC MOSFET没有JFET区,可以避免寄生JFET效应(例如JFET区产生的额外电阻),能够实现提高的晶圆密度,同时还具有更高的阻断电压、更好的开关特性和更低的导通损耗等改善的电学性能。发明内容本公开涉及用于制造半导体装置的方法以及半导体装置。一种用于制造半导体装置的方法,该方法包括:提供半导体基底,在半导体基底中形成有沟槽;用飞秒激光束照射半导体基底的与沟槽的第一部分邻接的第二部分,使得半导体基底的第二部分发生非热熔化;以及在完成飞秒激光束的照射之后,对半导体基底进行热氧化处理,使得半导体基底的第二部分形成氧化层。
  • 芯联集成“半导体器件的制备方法及半导体器件”专利获授权
    天眼查显示,芯联集成电路制造股份有限公司近日取得一项名为“半导体器件的制备方法及半导体器件”的专利,授权公告号为CN118073206B,授权公告日为2024年7月23日,申请日为2024年4月22日。背景技术半导体器件中的金属氧化物半导体(Metal Oxide Semiconductor,MOS)器件,因具有开关速度快、损耗小、可靠性高等优点,在诸如电源控制和驱动电路等领域得到越来越广泛的应用。例如,金属氧化物半导体器件中的横向扩散金属氧化物半导体(LaterallyDiffused Metal Oxide Semiconductor,LDMOS)器件,具有耐高压,大电流驱动能力以及低功耗的优点,而且容易与互补金属氧化物半导体器件工艺兼容,因此常用于射频功率电路和电源控制电路,以满足耐高压以及实现功率控制等方面的要求。功率集成电路高电压、大电流的特点常常要求金属氧化物半导体器件具有高击穿电压和低比导通电阻。场板技术是一种广泛应用的用于提高金属氧化物半导体器件的击穿电压的技术,但是目前结合场板技术的金属氧化物半导体器件的制作工艺较为复杂。因此如何在较好地提升金属氧化物半导体器件的击穿电压的同时,简化制作工艺是目前亟需解决的问题。发明内容本申请实施例涉及一种半导体器件的制备方法及半导体器件,属于半导体技术领域。半导体器件的制备方法包括:提供半导体材料层,半导体材料层中包括第一器件区,第一器件区中包括漂移区和体区;在部分漂移区的表面形成场氧化层;形成从场氧化层的表面延伸至漂移区的内部的至少一个第一沟槽;形成覆盖第一沟槽的内壁的第一介质层;在部分体区的表面形成栅介质层;形成填充于第一沟槽并延伸至部分场氧化层和栅介质层的表面的导电层;其中,位于第一沟槽中的导电层构成第一场板;位于第一场板和场氧化层的表面的导电层构成第二场板;位于栅介质层的表面的导电层构成栅电极层。如此,在有效提升器件击穿电压的同时使得器件的制备工艺较为简化。
  • 【新书推荐】宽禁带半导体紫外光电探测器
    基于宽禁带半导体的固态紫外探测技术是继红外、可见光和激光探测技术之后发展起来的新型光电探测技术,是对传统紫外探测技术的创新发展,具有体积小、重量轻、耐高温、功耗低、量子效率高和易于集成等优点,对紫外信息资源的开发和利用起着重大推动作用,在国防技术、信息科技、能源技术、环境监测和公共卫生等领域具有极其广阔的应用前景,成为当前国际研发的热点和各主要国家之间竞争的焦点。我国迫切要求在宽禁带半导体紫外探测技术领域取得新的突破,以适应信息技术发展和国家安全的重大需要。本书是作者团队近几年来的最新研究成果的总结,是一本专门介绍宽禁带紫外光电探测器的科技专著。本书的出版可以对我国宽禁带半导体光电材料和紫外探测器的研发及相关高新技术的发展起到促进作用。本书从材料的基本物性和光电探测器工作原理入手,重点讨论宽禁带半导体紫外探测材料的制备、外延生长的缺陷抑制和掺杂技术、紫外探测器件与成像芯片的结构设计和制备工艺、紫外单光子探测与读出电路技术等;并深入探讨紫外探测器件的漏电机理、光生载流子的倍增和输运规律、能带调控方法、以及不同类型缺陷对器件性能的具体影响等,展望新型结构器件的发展和技术难点;同时,介绍紫外探测器产业化应用和发展,为工程领域提供参考,促进产业的发展。本书作者都是长年工作在宽禁带半导体材料与器件领域第一线、在国内外有影响的著名学者。本书主编南京大学陆海教授是国内紫外光电探测领域的代表性专家,曾研制出多种性能先进的紫外探测芯片;张荣教授多年来一直从事宽禁带半导体材料、器件和物理研究,成果卓著;参与本书编写的陈敦军、单崇新、叶建东教授和周幸叶研究员也均是在宽禁带半导体领域取得丰硕成果的年轻学者。本书所述内容多来自作者及其团队在该领域的长期系统性研究成果总结,并广泛地参照了国际主要相关研究成果和进展。作者团队:中国科学院郑有炓院士撰写推荐语时表示:“本书系统论述了宽禁带半导体紫外探测材料和器件的发展现状和趋势,对面临的关键科学技术问题进行了探讨,对未来发展进行了展望。目前国内尚没有一本专门针对宽禁带半导体紫外探测器的科研参考书,本书的出版填补了这一空白,将会对我国第三代半导体紫外探测技术的研发起到重要的推动作用。”目前市面上还没有专门讲述宽禁带半导体紫外探测器的科研参考书,该书的出版可以填补该领域的空白。本书可为从事宽禁带半导体紫外光电材料和器件研发、生产的科技工作者、企业工程技术人员和研究生提供一本有价值的科研参考书,也可供从事该领域科研和高技术产业管理的政府官员和企业家学习参考。详见本书目录:本书目录:第1章 半导体紫外光电探测器概述1.1 引言1.2 宽禁带半导体紫外光电探测器的技术优势1.3 紫外光电探测器产业发展现状1.4 本书的章节安排参考文献第2章 紫外光电探测器的基础知识2.1 半导体光电效应的基本原理2.2 紫外光电探测器的基本分类和工作原理2.2.1 P-N/P-I-N结型探测器2.2.2 肖特基势垒探测器2.2.3 光电导探测器2.2.4 雪崩光电二极管2.3 紫外光电探测器的主要性能指标2.3.1 光电探测器的性能参数2.3.2 雪崩光电二极管的性能参数参考文献第3章 氮化物半导体紫外光电探测器3.1 引言3.2 氮化物半导体材料的基本特性3.2.1 晶体结构3.2.2 能带结构3.2.3 极化效应3.3 高Al组分AlGaN材料的制备与P型掺杂3.3.1 高Al组分AlGaN材料的制备3.3.2 高Al组分AlGaN材料的P型掺杂3.4 GaN基光电探测器及焦平面阵列成像3.4.1 GaN基半导体的金属接触3.4.2 GaN基光电探测器3.4.3 焦平面阵列成像3.5 日盲紫外雪崩光电二极管的设计与制备3.5.1 P-I-N结GaN基APD3.5.2 SAM结构GaN基APD3.5.3 极化和能带工程在雪崩光电二极管中的应用3.6 InGaN光电探测器的制备及应用3.6.1 材料外延3.6.2 器件制备3.7 波长可调超窄带日盲紫外探测器参考文献第4章 SiC紫外光电探测器4.1 SiC材料的基本物理特性4.1.1 SiC晶型与能带结构4.1.2 SiC外延材料与缺陷4.1.3 SiC的电学特性4.1.4 SiC的光学特性4.2 SiC紫外光电探测器的常用制备工艺4.2.1 清洗工艺4.2.2 台面制备4.2.3 电极制备4.2.4 器件钝化4.2.5 其他工艺4.3 常规类型SiC紫外光电探测器4.3.1 肖特基型紫外光电探测器4.3.2 P-I-N型紫外光电探测器4.4 SiC紫外雪崩光电探测器4.4.1 新型结构SiC紫外雪崩光电探测器4.4.2 SiC APD的高温特性4.4.3 材料缺陷对SiC APD性能的影响4.4.4 SiC APD的雪崩均匀性研究4.4.5 SiC紫外雪崩光电探测器的焦平面成像阵列4.5 SiC紫外光电探测器的产业化应用4.6 SiC紫外光电探测器的发展前景参考文献第5章 氧化镓基紫外光电探测器5.1 引言5.2 超宽禁带氧化镓基半导体5.2.1 超宽禁带氧化镓基半导体材料的制备5.2.2 超宽禁带氧化镓基半导体光电探测器的基本器件工艺5.3 氧化镓基日盲探测器5.3.1 基于氧化镓单晶及外延薄膜的日盲探测器5.3.2 基于氧化镓纳米结构的日盲探测器5.3.3 基于非晶氧化镓的柔性日盲探测器5.3.4 基于氧化镓异质结构的日盲探测器5.3.5 氧化镓基光电导增益物理机制5.3.6 新型结构氧化镓基日盲探测器5.4 辐照效应对宽禁带氧化物半导体性能的影响5.5 氧化镓基紫外光电探测器的发展前景参考文献第6章 ZnO基紫外光电探测器6.1 ZnO材料的性质6.2 ZnO紫外光电探测器6.2.1 光电导型探测器6.2.2 肖特基光电二极管6.2.3 MSM结构探测器6.2.4 同质结探测器6.2.5 异质结探测器6.2.6 压电效应改善ZnO基紫外光电探测器6.3 MgZnO深紫外光电探测器6.3.1 光导型探测器6.3.2 肖特基探测器6.3.3 MSM结构探测器6.3.4 P-N结探测器6.4 ZnO基紫外光电探测器的发展前景参考文献第7章 金刚石紫外光电探测器7.1 引言7.2 金刚石的合成7.3 金刚石光电探测器的类型7.3.1 光电导型光电探测器7.3.2 MSM光电探测器7.3.3 肖特基势垒光电探测器7.3.4 P-I-N和P-N结光电探测器7.3.5 异质结光电探测器7.3.6 光电晶体管7.4 金刚石基光电探测器的应用参考文献第8章 真空紫外光电探测器8.1 真空紫外探测及其应用8.1.1 真空紫外探测的应用8.1.2 真空紫外光的特性8.2 真空紫外光电探测器的类型和工作原理8.2.1 极浅P-N结光电探测器8.2.2 肖特基结构光电探测器8.2.3 MSM结构光电探测器8.3 真空紫外光电探测器的研究进展8.3.1 极浅P-N结光电探测器的研究进展8.3.2 肖特基结构光电探测器的研究进展8.3.3 MSM结构光电探测器的研究进展
  • 针对半导体行业的十种半导体材料表征技术研究与应用
    半导体材料作为半导体产业链中的重要支撑,包括以硅、锗等为代表的元素半导体材料和以砷化镓、磷化铟、碳化硅和氮化镓为代表的化合物半导体材料,广泛应用于通讯、计算机、消费电子、汽车电子以及工业应用等众多产业。 半导体材料的发展和进步离不开先进的材料表征技术支撑。HORIBA作为检测及分析技术的领先供应商,可为半导体产业提供多种分析及检测技术。在材料表征技术方面,可为半导体材料研发及QC提供多种分析技术,包括薄膜厚度测量、晶型、应力、器件结温、缺陷、杂质、元素含量以及CMP研磨液粒径表征等;在制程监控环节, HORIBA可提供质量流量控制、化学药液浓度监测、终点检测及光掩模颗粒检测等技术。本次仪器信息网特采访了HORIBA Scientific 科学仪器事业部大客户经理熊洪武先生,请他分享了HORIBA在半导体材料检测方面的技术与解决方案。HORIBA Scientific 科学仪器事业部大客户经理 熊洪武熊洪武先生现任HORIBA Scientific 工业销售经理。进入分析仪器行业10年,负责HORIBA光栅光谱仪技术咨询和系统应用支持多年,对光谱测量系统选择有丰富的经验,具有光致发光光谱、拉曼光谱和荧光光谱等相关技术的应用经验。现主要负责HORIBA科学仪器在半导体等工业领域的应用推广工作。1、 请问贵司面向半导体行业用户推出了哪些仪器产品及相关检测方案?HORIBA针对半导体用户推测了多种检测方案,涉及到半导体的外延薄膜厚度及缺陷,衬底材料晶型,表面残余应力,器件结温,元素含量,多量子阱元素深度剖析以及CMP抛光液粒径分布检测等技术。仪器技术名称在半导体材料中的应用HORIBA仪器特点HORIBA推荐型号椭圆偏振光谱仪薄膜厚度、折射率、消光系数测量SiO2, SiNx等薄膜厚度测量,光刻胶等材料折射率消光系数PEM相调制技术的高稳定性高灵敏度可测量透明基底上的超薄膜UVSEL Plus拉曼光谱仪晶型、应力、温度、载流子浓度以及异物等分析;硅薄膜晶化率、SiC晶型、功率器件结温等,二维材料层数、晶格取向、缺陷以及掺杂等表征高光谱分辨率高空间分辨率宽光谱范围LabRAM Odyssey光致发光光谱仪带边发光/缺陷发光分析外延层质量及均匀性分析可选时间分辨光致发光(TRPL)研究载流子弛豫及扩散模块化结构设计可按需配置高光谱分辨率宽光谱范围SMS低温光致发光光谱仪测量硅单晶中硼、磷、铝、砷的元素含量超高光谱分辨率超低检测下限可提供定量标准曲线PL-D阴极荧光光谱仪缺陷检测,光强成像评价缺陷密度如线位错掺杂、杂质、包含物分析高效光学收集镜模块化光谱仪宽光谱范围探测H-CLUEF-CLUE辉光放电光谱仪元素含量随深度变化剖析LED多量子阱元素含量随深度剖析分析速度快操作简单无需制样GD Profiler 2碳硫分析仪 / 氧氮氢分析仪重掺硅中氧含量测量靶材中碳硫、氧氮氢元素含量测量清扫效率高高检测精度EMIA seriesEMGA series显微X射线荧光异物杂质分析、金属涂层厚度或凸点元素分析,封装布线中的离子迁移、缺陷、短路分析等高空间分辨率半真空模式XGT-9000激光粒度仪 / 纳米粒度仪CMP抛光液粒径分布及Zeta电位测量硅片切削液粒径分布测量全自动检测效率高可提供在线测量方案LA-960V2SZ-100V2离心式纳米粒度分析仪CMP抛光液高分辨率粒度分布测量可捕捉少量的杂质或团聚体高分辨率测量粒径分布制冷功能保持样品恒温CN-3002、 这些仪器主要解决半导体行业中的哪些问题?(相关检测项目在半导体行业中的重要意义)以椭圆偏振光谱仪为例,可以准确测量12寸硅晶圆上SiO2超薄膜的厚度,还为研发ArF光刻胶提供折射率消光系数的测量等,为国产替代材料的研发提供准确的标准工具;而拉曼光谱仪则可为功率半导体研究提供如衬底晶型鉴别,应力大小及分布测量以及功率器件结温测试等,在二维材料方面,由于其独特的特性,有望突破硅基器件面临的“瓶颈”而受到重视,拉曼光谱在二维材料层数、晶格取向、缺陷以及掺杂等表征方面发挥着重要作用;在光致发光(PL)方案中,除了提供常用的常温PL测量材料缺陷及均匀性外,还可以提供低温PL检测硅单晶中低至ppta级的P,B,Al,As元素的浓度,可为电子级多晶硅生产厂商的超低杂质含量检测提供有力手段;在元素表征方面,HORIBA拥有碳硫、氧氮氢分析仪,可为靶材元素分析、硅片中氧含量测量提供高灵敏的检测手段,辉光放电光谱仪(GD-OES)可为多量子阱结构元素深度剖析提供快速测量手段,而显微X射线荧光分析仪,可以为半导体封装过程中的狭窄图案涂层测厚或凸点元素成分分析,以及集成电路封装布线中的离子迁移、缺陷、短路分析等提供高空间分辨率的元素分布检测,同时在半导体生产过程中的异物分析过程中也发挥着不可或缺的作用。3、 贵司的仪器产品和解决方案具有什么优势?(原理、技术、成本、精度等方面的优势)以光谱仪类测量仪器为例,HORIBA是多种焦长光谱仪的供应商,可以覆盖从低到高光谱分辨率的应用需求,比如拉曼光谱仪和光致发光光谱仪拥有多种型号,满足各种光谱分辨率需求的应用。以拉曼光谱测量半导体材料应力和器件结温为例,光谱的峰位变化往往非常小,那么光谱分辨率越高,对峰位的定位就越准确,有助于区分微小的拉曼峰位位移;对低温PL测量硼、磷、铝、砷元素含量,光谱分辨率越高,对相邻的峰就越容易分开,尤其是在测量铝和砷元素浓度时,对光谱分辨率要求非常高,需要采用长焦距光谱仪以达到超高光谱分辨率的要求。4、当前,国内半导体用户是否对某类仪器提出了更高的技术要求(可举例说明)?贵司对此是否有相关应对之策?随着集成电路技术的进步和先进制程节点的推进,CMP工艺在集成电路中使用的使用也越来越多,对CMP材料种类和用来也在增加,并且对CMP抛光液材料也提出了更高的要求,例如对一些金属氧化物的纳米颗粒研磨液中的颗粒粒径分布,采用传统的粒度仪难以进行高精度的测量,而HORIBA推出的离心式纳米颗粒度分析仪CN-300是按粒径大小离心分类后进行测量的,可以一次测量就能得到宽范围的高精度结果,并且由于其高分辨率可以捕获到少量的杂质颗粒,这对应更高要求的CMP研磨液的研发来说极为重要。5、贵司当下比较关注的细分材料领域有哪些,是否会推出相关的仪器产品或解决方案?可以为用户解决什么科研难题? HORIBA科学仪器部门当前比较关注的半导体细分材料领域主要在两个方面:一个是在工业应用中的大硅片、光刻胶以及化合物半导体材料等领域;另外一个是在科研领域,主要包括二维材料等先进材料;我们已经陆续与一批客户进行合作并推出相应的解决方案,可以为用户提供薄膜厚度、分子结构、元素以及材料粒径分布等方面的分析表征解决方案。此外,我们在HORIBA的上海研发中心成立了科学仪器应用方案开发中心,计划针对半导体产业中可能应用到的相关技术与用户进行合作并进行相应的方法开发,为用户提供相应的解决方案。【行业征稿】若您有半导体行业相关研究、技术、应用、管理经验等愿意以约稿形式共享,欢迎自荐或引荐投稿联系人:康编辑word图文投稿邮箱:kangpc@instrument.com.cn微信/电话:15733280108
  • 行业应用|半导体行业发展&解决方案
    8月11日,第十一届(2023年)中国电子专用设备工业协会半导体设备年会暨产业链合作论坛在无锡落下帷幕。参会专家指出,国产半导体设备已经取得突破性进展,海外设备进口和本土设备销售呈现“此消彼长”态势,上半年半导体相关行业制造业增长比较快,半导体器件专用设备制造业增加值增长30.9%。并预计2023年国产主要半导体设备制造商订单有望稳步增长。 中国电子专用设备工业协会理事长、北方华创董事长赵晋荣表示,10余年来中国半导体设备市场规模持续发展,尤其是近几年,中国本土设备取得了较大进步。数据来源:浙商证券研究所 虽然国产半导体设备在诸多领域实现了从0到1的突破,但是关键设备、零部件以及满足特殊工艺生产需求的国产半导设备依旧缺乏,良率、稳定性等还待进一步提升,在全球半导体设备市场的规模依旧偏小。 中国电子专用设备工业协会常务副秘书长金存忠指出,国产半导体设备进一步发展,还亟需解决光刻机等关键设备国产化率低等问题,目前国产制造商能够制造的主要是先进封装和LED领域的光刻机,而且光刻机的难点不仅在制造出来,还要保证设备的效率和良率。另外,关键零部件成本在设备进口成本占比较大等问题,有的厂商反馈零部件成本占比高达六成。  作为半导体设备终端用户,半导体制造厂商尤为关注生产效率和良率,就需要设备厂商与产业链进一步合作,攻关克难。“没有95%以上的良率、没有把成本降下来,企业是不能生存的,而且生产的越多,亏损的越多。”中国电子专用设备工业协会副秘书长、积塔半导体(上海)有限公司总工程师李晋湘表示,建议半导体制造企业和设备企业、材料厂商等进一步深化合作,推动工艺持续进步,不仅攻克具有经济效益规模而难度小的设备,也要挑战量小而难度大的设备,并且加强半导体设备的配套软件开发,建立和完善培训系统,让国内工程师能够掌握和使用好本土设备。小编也在这里整理了半导体行业相关优质解决方案供大家参考:集成电路检测方案:1、等离子清洗 仪处理 TEM透射电镜样品清洗和活化2、4H-SiC中EPI厚度,载流子浓度检测方案 3、硅片表面中金属污染物检测方案 4、集成电路制造行业常用有机溶剂中金属杂质检测方案 光电器件解决方案:1、小束斑+特色SnapMap快照成像功能分析SnOx成分半导体器件 2、高分辨光学链路诊断仪OCI+精准测量多分支光链路损耗3、SiC外延片测试方案4、TMC STACIS主动隔振器在抬高的钢支架上的隔振应用传感器解决方案:1、TEC半导体制冷片:热释电系数测试中的正弦波温度控制解决方案更多解决方案 请点击 查 看 ══════════▼▼▼══════════行业应用栏目简介:(http://www.instrument.com.cn/application/ ) 【行业应用】是仪器信息网专业行业导购平台,汇聚了行业内国内外主流厂商的优质分析方法及相应的仪器设备。栏目建立了兼顾国家相关规定和用户习惯的专业分类,涉及食品、药品、环境、农/林/牧/渔、石化、汽车、建筑、医疗卫生等二十余个使用仪器相对集中的行业领域,目前,已经收录行业解决方案6万+篇。
  • 盘点|2023年有哪些精彩的半导体会议(文中有回放)
    盘点|2023年有哪些精彩的半导体会议(文中有回放)随着2023年的结束,我们迎来了年终盘点的时间。在过去的一年里,我们成功举办了多次会议,吸引了众多行业专家和业内人士的参与,这些会议为我们提供了宝贵的学习、交流机会,促进了行业的合作与发展。现在,让我们一起回顾过去一年的半导体会议。2023年4月-5月,仪器信息网联合电子工业出版社举办“半导体主题月”活动。会议旨在邀请领域内专家围绕半导体产业常用的工艺与检测技术,从各种半导体制造工艺及其检测技术等方面带来精彩报告,本次会议以“第三代半导体材料器件研究与检测技术”、“光电材料、器件研究与检测技术”、“传感器/MEMS研究与检测技术”为主要内容进行探讨。回看链接:https://www.instrument.com.cn/webinar/meetings/semiconductor2304/ 2023年5月10-11日,“半导体主题月”活动。活动同期,仪器信息网与电子工业出版社特组织召开第二届“半导体工艺与检测技术”主题网络研讨会。半导体产业作为现代信息技术产业的基础,已成为社会发展和国民经济的基础性、战略性和先导性产业,是现代日常生活和未来科技进步必不可少的重要组成部分。本次会议分设“薄膜沉积与外延及其检测技术”、“光刻与刻蚀及其检测技术”、“半导体封装及其检测技术”、“半导体失效分析及沾污检测”四大会场,精彩报告回顾......回看链接:https://www.instrument.com.cn/webinar/meetings/Semicon2023/ 2023年10月18-21日,举办第四届“半导体材料与器件分析检测技术与应用”主题网络研讨会,围绕光电材料与器件、第三代半导体材料与器件、传感器与MEMS、半导体产业配套原材料等热点材料、器件和失效分析、材料分析、可靠性测试等热点分析检测技术,分设“半导体材料分析技术新进展”、“可靠性测试和失效分析技术”、“可靠性测试和失效分析技术(赛宝实验室专场)”、“缺陷检测和量测技术”等专场。回看链接:https://www.instrument.com.cn/webinar/meetings/icsmd2023/ 明年,我们将有更多精彩的半导体会议举办,期待以更专业、更创新、更前沿的方式向大家呈现精彩的内容。欢迎添加助教微信:相关会议赞助,请联系刘经理,欢迎各位厂商前来咨询:刘经理 手机号(微信同号):15718850776 邮箱:liuyw@instrument.com.cn
  • 闲聊半导体行业和芯片人的”黑话“(中)
    上篇聊的偏粗线条,这篇会聊一些更细节的半导体“黑话”,还有就是这些半导体独立王国的“语言”和我们“正常人”说的话到底有什么关联,毕竟半导体行业不是凭空出世的,芯片人更不是“空降兵”,这个细分领域的技术和应用是有其根基和土壤的; “正常”电镜人里做冷场发射电镜CFESEM的,特别是做氦离子显微镜HIM的,都熟悉“Anneal”这个术语,指的是通过瞬间加热灯尖驱逐吸附的气体分子,增强钨单晶的活性以便于灯尖切削,俗称“重生”;半导体对“Anneal”的”黑话“称呼差别比较大叫回火,又称退火,也是对wafer进行热处理的一种手段;更广义地讲,集成电路IC工艺中所有的在氮气等不活泼气氛中进行的热处理的过程,都可以称为退火;”退火“与“重生”异曲同工,目的一是激活杂质,使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载流子,起到掺入杂质Doping的作用;二是消除损伤,离子植入Ion Implatation后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁区;由于进入底材Substrate中的离子行进中将硅原子撞离原来的晶格位置,而导致的晶体的特性改变就叫损毁;而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、时间差异来控制全部或局部的活化植入离子的功能。氧化制程中也有回火工序,主要是为了降低SiO2的晶格结构。退火方式有传统的炉Furnace退火,还有更先进的快速退火:包括脉冲激光法、连续波激光、非相干宽带频光源;如卤光灯、电弧灯、石墨加热器、红外设备等;“Furnace”在透射电镜TEM样品加热杆Heating holder上很常见,就是“坩埚”。 这里提到的离子植入Ion Implantation对熟悉FIB的“正常人“并不陌生,传统聚焦离子束利用Ga+离子束进行切割Milling、截面Sectioning、或是在气体注入系统GIS的配合下做刻蚀Etching,离子束在不同的气体配合下,可以做到选择性刻蚀Selective Etching,或增强型刻蚀Enhanced Etching;在FIB中,掺杂物Dopant对样品的掺杂Doping,我们是不希望看到的,注入到样品里的Ga+会被视为对样品的污染Contamination;而晶圆制造中的离子植入,是在原本本征的半导体里主动的植入或通过扩散的方法将其它的原子或离子掺杂进去,达到改变其电性能的方法,这里,我们是希望看到样品wafer性能发生改变的; 甚至,当一般植入的离子分布达不到要求时,还会加码,通过进炉管Furnace加高温的方式将离子进行扩散Diffusion,以达到我们对离子分布的要求,同时对离子植入造成的缺陷进行修复;这里涉及到的设备叫扩散炉Diffusion furnace;此工序就是在扩散炉管内通以饱和的杂质蒸汽,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入扩散,快速完成固态扩散需要约数亿年才能完成的任务;Diffusion虽然能较精确地选择杂质Dopant的数量,但受限于离子能量,无法将杂质驱入芯片更深(um级别)的区域了;因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除;此方法就称为驱入Drive-In;“Drive-in“在这里就不是那种能直接开车进去看电影的露天电影院了;”Drive-In”工艺中,不再加入半导体杂质总量,只将表面的杂质往半导体内更深入的推进;在驱入时,常通入一些氧气﹒因为硅氧化时,会产生一些缺陷,如空洞Vacancy;这些缺陷会有助于杂质原子的扩散速度;电镜中用到的超高真空泵-离子泵Ion Getter Pump,有和上面说的半导体的“Drive-in”手段类似,通过将杂质气体分子驱入离子泵的钛合金板,逐步达到提升真空的功效;顺便一句,半导体制程中用到的”特种气体“,”黑话“叫”特气“。 还记得”正常“电镜上熟悉的用来做表层污染物去除的Plasma cleaner等离子清洗吗?电子枪和电子光学镜筒装配前会用来轰击清洁样品表面,避免超高真空下的发气outgassing,俗称”轰击台“;晶圆制程中的这类设备就叫Arc Chamber弧光反应室,和“轰击台”原理一样,事实上设备就是利用一个直流式的电浆发生器,操作电流产生的弧光电浆来清洁抛光晶圆表面;这里的电浆就是又一句半导体“黑话”,正常人一般叫它Plasma,或等离子体;电浆Plasma是人类近代物化史上重大的发现之一,指的是一个遭受部分离子化的气体,气体里面的组成有各种带电荷的电子,离子,及不带电的分子和原子团等;电浆发生器的两金属极板上加上直流电压而产生的电浆我们就叫它直流电浆DC Plasma了; 提到电浆,就顺便说下晶圆的镀膜Deposition环节;相比较使用化学蒸气沉积CVD Chemical Vapor Deposition方法的镀膜,使用物理蒸气沉积法PVD的镀膜工艺在高制程中更常被用到;PVD制程中,脱离电浆的带正电荷离子,在暗区的电场的加速下,获得高能量,当离子与阴电极产生轰击之后,基于能量传递的原理,离子轰击除了会产生二次电子以外,还会把电极表面的原子给"打击"出来,称为sputtering溅射;电极板加直流电压称为DC Sputtering直流溅射;阴极导电材料,因为像“靶子“被电浆打击,被称为靶材Target;说到这里,我嘘了一口气;终于,半导体人也不是必须只讲自己人的”黑话“,他们跟”正常人“一样,还是能讲些”人话“的;需要溅射镀膜比较细腻的可以用磁控DC溅镀机:为了使离子在往金属靶表面移动时获得足够的能量,除了提高极板间的电压外,还必须使离子在阴极暗区内所遭受的碰撞次数降低,就必须降低溅渡的压力,越低越好,以增长离子的平均自由行程;这样一来,单位体积内的气体分子数降低,使得电浆里的离子浓度也降低,导致溅渡薄膜的沉积速率变慢,整体镀膜更加细腻;“正常”电镜实验室中,为了增加样品导电性,我们会去给样品镀一层金膜,这里的“镀金仪”就是缩小版的Fab里的PVD设备了;除了“镀金”,我们还经常会去“镀碳”;碳膜比起金膜来,可以增强样品表层导电性,但不会影响到“打能谱”,标定样品的化学元素信息;所以生物样品常用镀金,材料样品更愿意镀碳,就是这个道理; 我们利用Sputtering溅射技术镀金,镀碳的技术则为Evaporation蒸镀;Fab里的采用蒸镀技术的PVD设备,就是将蒸镀源放在坩埚Furnace里加热,当温度升高到接近蒸镀源的熔点附近时,原本处于固态的蒸镀源的蒸发能力将会特别强,利用这些被蒸发出来的原子,就可在附着在离其不远处的芯片Wafer表面上,形成薄膜式的沉积层,这种方法就是蒸镀Evaporation了;随着用以隔离之用的场氧化层FOX,CMOS电晶体,金属层及介电层等构成IC的各个结构在Chip芯片上建立之后,芯片的表面也将随之变得上下凸凹不平,致使后续制程变得更加困难;所以,传统半导体制程就开始执行芯片表面平坦化的技术了;以介电层SiO2的平坦化为例,有高温热流法、各种回蚀技术及旋涂式玻璃法;当 超大规模集成电路 VeryLarge Scale Integration- VLSI的制程推进到0.35um以下后,上面这些技术就不能满足制程需求了,故而也就产生了Chemically Mechanical Polishing化学机械研磨法CMP制程;所谓CMP就是利用在表面布满研磨颗粒的研磨垫Polishing pad,对凸凹不平的晶体表面,藉由化学助剂Reagent的辅助,以化学反应和机械式研磨等双重的加工动作,来进行其表面平坦化的处理;看到这里,您不难看出,这个半导体的CMP不就是我们“正常”实验室人经常操作的电化学抛光Electro-ChemicalPolishing制样流程吗?怎么什么进了半导体应用的门槛就非得改名,开说“黑话“呢?由于半导体芯片行业薪酬普遍高出“正常“行业一头,导致在这个行业里的从业人沾沾自喜;笔者接触过一位有幸掌握了CMP操作技术的年轻人,”下界“来做电镜售后,满脑子都是半导体厂里的条条框框,动辄fingerpointing这个那个流程不够详细规范,无法操作,责任不明等等,俨然就是一位被半导体惯坏Spoiled的巨婴,”下凡“之后连正常思考都不会了;这里例子让我知道了即使在光鲜的半导体行业里,也会培养出只知规程,而实际却”四体不勤,五谷不分“的庸才。通篇看到这里,要是您要还没说“服了You”的话,那下面我们再接着多看多学一堆半导体的”黑话“吧;一片大硅片、OR晶圆,即Wafer上有许多相同的方形小单位,这些小单位叫Die,大家在这里肯定都理解这不是那个常用动词了吧?不过学材料的同学,你们知道它“黑话“叫“晶粒“吗?这回不淡定了吧;同一片上的每个Die晶粒都是相同的构造,具有相同的功能,每个晶粒经切割封装后,就制成了一颗颗我们日常生活中常用的IC芯片了,所以每一个大晶圆片所能造出的小IC数量是很可观的;同样地,如果因制造的疏忽而产生的缺陷,往住波及到同一批次Lot里成百成千个产品;说到这里,各位看官也明白为什么晶圆厂都喜欢300mm,就是12英寸的大硅片了吧;没错,一次出货出的多,省耗材省时间,人人喜大普奔;这里再来个插科打诨,Die的复数这里“黑话“叫Dice;没错,就是我们耍的”骰子“一个词;让我们期盼这来之不易的晶圆片不会轻易地godie,好不容易划片成功的裸Die不会变态地被当骰子耍吧;Fab里最贵的三类设备,也就是晶圆制造前道工艺中的三大设备了;除了上面提到的各类“D”类Deposition沉积镀膜设备,其余两大设备就是听得耳朵都长茧子的的光刻机Photolithography“L“类设备,和光刻后的刻蚀机Etching”E“类设备了;目前海外Fab使用最广泛的光刻机就是DUV深紫外光刻Deep-UV lithography,和ASML动辄卖上亿美元一台的EUV极紫外光刻机Extreme-UV lithography了;不知不觉中,ASML和EUV似乎成了光刻机的代名词;事实上,能够在硅基上“刻”出图案的技术有多种:X射线光刻 X-ray lithography、电子束光刻 Electron beamlithography EBL、氦离子束光刻 Helium ion beam lithography、等离子体飞秒激光光刻Femtosecond Plasma Lithography FPL、纳米压痕刻Nano Imprint Lithography NIL;这么多种类的光刻技术,光刻机的供应商自然不会只有ASML一家,ASML倒确实是唯一一家既可以做EUV光刻,也能做EBL光刻的光刻机“大户”;ASML是在收购了用13260只电子束EBL跟它PK光刻老大败北的Mapper后,同时获得这项技术的;上面有提到可以说是半导体芯片行业使用频率可以排名前三的“黑话”,叫Fabless,业务里不做Fab的就叫Fabless;我们现在聊到了光刻,曝光时需要拿一片事先印好图形玻璃模板叫Mask或Reticle,放在光源与物镜之间的这一类叫做光罩Mask光刻,DUV、EUV光刻就是这类了;同理,曝光过程中不用模板辅助显影,而是光源直接接触硅片的技术就叫无光罩Maskless光刻了,带电粒子束光刻,也就是电子束、离子束一类光刻就属于这类了;“黑话”的特性是除了“道上”的同行,别人都听不懂的;这里的Maskless就又是一个“活生生”半导体的“黑话“的例子;既然提到“曝光“exposure,我们就顺便聊下半导体”盗用“摄影师的这条行话吧;早期照相机底片的感光原理在集成电路的制造过程中也适用;借助”曝光“,可以将光罩,又叫光掩模,也就是Mask上的事先定义的图形Pattern,转移到晶圆片上,借助大数值孔径Numerical Aperture NA的物镜的帮助,形成了更为精细的光阻图形;所以如果”光刻“是晶圆制造中最关键的一步的话,”曝光“便是”光刻“中那个最重要的步骤;既然重要,这里我们就多聊两句”黑话“:以使用最广的5XStepper为例,其方式就是把对紫外线UV敏感的光阻膜PR当作照相机的底片,光罩上是芯片架构师用EDA设计的各种图形,以特殊波长的光线,比如G-Line 436nm照射穿过光罩,经过物镜,又叫缩小镜或Reduction Lens后,光罩上的图形则呈5倍缩小,精确地定义在”底片“,也就是芯片上的光阻膜,又叫光刻胶或Photoresist,再经过显影Development后,即可将照到光线的正光阻膜显掉,从而就得到了我们心心念念地想要的各种精细图形,准备好为下一步的刻蚀或离子植入制程使用;因为光阻膜对于某特定波长的光线特别敏感,所以Fab厂都有黄光区,将一切照明用光源统一过滤成昏黄色,以避免泛白光源中含有对光阻有感光能力的波长成份存在,否则会发生光线污染,扰乱精细的光阻成形。就像胶片时代的照相底片一样,光刻胶 photoresist,又称光阻剂,也有正负之分;半导体的负片叫负性光刻胶negative photoresist,正片叫正性光刻胶 positive photoresist,较高制程的Fab多会用到正胶,显影时正胶会被显没,留下底下需要被刻蚀掉的硅片区域;“光刻胶“叫起来比”光阻剂“更加顺口,也算是一句标准的下凡“黑话”吧;这个关于光刻胶的“黑话”群还包括:无机光刻胶 inorganic resist、多层光刻胶 multilevel resist、电子束光刻胶 electron beam resist、X射线光刻胶 X-ray resist等分类;还有甩胶 spinning、涂胶 coating、烘胶 baking、去胶stripping、氧化去胶 removing by oxidation、等离子体去胶 removing of by plasma,等等。曝光工艺里还时不时地会听到还分接触式曝光法contact exposure、接近式曝光法 proximity exposure、还有投影式曝光法 projection exposure的说法,这是不是让刚刚觉得自己懂了点晶圆制造的半导体小白,只瞅了一眼半导体的世界,一下子又跌回了“深渊“呢?半导体“黑话“这次就先聊止于此,下篇是Save the best to the last,最精彩的那一集大结局;敬请期待。
  • 半导体杂质检测难?半导体专用ICP-MS来帮你!
    对Fab工厂而言,控制晶圆、电子化学品、电子特气和靶材等原材料中的无机元素杂质含量至关重要,即便是超痕量的杂质都有可能造成器件缺陷。然而半导体杂质含量通常在ppt级,ICP-MS分析时用到的氩气及样品基体都很容易产生多原子离子干扰,标准模式、碰撞模式下很难在高本底干扰的情况下分析痕量的目标元素。珀金埃尔默NexION系列半导体专用ICP-MS,凭借其独特的以动态反应池技术为基础的UCT(通用池)技术,既能实现标准模式、碰撞模式,也可以通过反应模式消除干扰,从根本上成功解决了多原子干扰的技术难题。晶圆中的金属杂质分析(UCT-ICP-MS)晶圆等半导体材料中的主要成分是硅。高硅基体的样品在传统的冷等离子体条件下分析,其中的耐高温元素硅极易形成氧化物。这些氧化物沉积在锥口表面后,会造成明显的信号漂移。NexION系列半导体专用ICP-MS在高硅基体的样品分析中采用强劲的高温等离子体,大大降低了信号漂移。通过通入纯氨气作为反应气,在DRC 模式下,有效消除了40Ar+ 对40Ca+、40Ar19F+ 对59Co+、40Ar16O+ 对56Fe+ 等的干扰。通过调节动态带通调谐参数消除不希望生成的反应副产物,克服了过去冷等离子体的局限,有效去除多原子离子的干扰。在实际检测中实现了10 ng/L 等级的精确定量,同时表现出良好的长期稳定性。基质耐受性:Si 基质浓度为100ppm 到5000ppm 样品100ppt 加标回收稳定性:连续进样分析多元素加标浓度为100ppt 的硅样品溶液(硅浓度为2000ppm)《NexION 300S ICP-MS 测定硅晶片中的杂质》NexION ICP-MS 测定半导体级盐酸中的金属杂质在半导体设备的生产过程中,许多流程中都要用到各种酸类试剂。其中最重要的是盐酸(HCl),其主要用途是与过氧化氢和水配制成混合物用来清洁硅晶片的表面。由于半导体设备尺寸不断缩小,其生产中使用的试剂纯度变得越来越重要。ICP-MS具备精确测定纳克/升(ng/L,ppt)甚至更低浓度元素含量的能力,是最适合测量痕量及超痕量金属的技术。然而,常规的测定条件下,氩、氧、氢离子会与酸基体相结合,对待测元素产生多原子离子干扰。如,对V+(51) 进行检测时去除 ClO+ 的干扰。虽然在常规条件下氨气与ClO+ 的反应很迅速,但如果需要使反应完全、干扰被去除干净,则需要在通用池内使用纯氨气。NexION系列半导体专用ICP-MS的通用池为四级杆,具备精准可控的质量筛选功能,可以调节RPq 参数以控制化学反应,防止形成新的干扰,有效应对使用高活性反应气体的应用。20% HCl 中各元素的检出限、背景等效浓度、10 ng/L 的加标回收率20% HCl 中典型元素ppt 水平标准曲线20% HCl 中加标50 ng/L 待测元素,连续分析10 小时的稳定性《利用NexION 2000 ICP-MS 对半导体级盐酸中的杂质分析》电子特气直接进样分析技术(GDI-ICP-MS)半导体所使用的特殊气体分析传统方法有两种:一种是使用酸溶液或纯水对气体进行鼓泡法吸收,然后导入ICP-MS进行分析;另一种是使用滤膜对气体中颗粒物进行收集,然后对滤膜消解后上机。然而无论是鼓泡法吸收还是滤膜过滤收集、消解,都存在样品制备过程容易被污染、鼓泡时间难以确定、不同元素在酸中溶解度不一样等各种问题,分析结果的可靠性和重现性都难以保证。GDI-ICP-MS系统可以将气体直接导入到等离子中进行激发,避免了额外的前处理步骤,具有方便、高效、不容易受污染等特点,从根本上解决传统方法的一系列问题。GDI-ICPMS气体直接进样技术GDI-ICPMS 直接定量分析气体中金属杂质GDI-ICP-MS法绘制的校准曲线(标准气体产生方式:在氩气中雾化标准溶液,这些标气对所有待测元素的线性都在0.9999以上)《使用气体扩散和置换反应直接分析气体中金属杂质》半导体有机试剂中纳米颗粒的分析(Single particle-ICP-MS)单颗粒ICP-MS(SP-ICP-MS)技术已成为纳米颗粒分析的一种常规手段,采用不同的进样系统,能在100~1000 颗粒数每毫升的极低浓度下对纳米颗粒进行检测、计数和表征。除了颗粒信息,单颗粒ICP-MS 还可以在未经前级分离的情况下检测溶解态元素浓度,可检测到ppb级含量的纳米颗粒,实现TEM、DLS等纳米粒径表征技术无法完成的痕量检测。用ICP-MS分析铁离子(56Fe+)时会受到氩气产生的40Ar16O+的严重干扰。利用纯氨气作反应气的动态反应池技术是消除40Ar16O+对铁离子最高丰度同位素56Fe+干扰最有效的途径,而只有对56Fe+的分析才能获得含铁纳米颗粒分析最低的检出限。90% 环己烷/10% 丙二醇甲醚混合液测定图谱,有含铁纳米颗粒检出TMAH 中含铁纳米颗粒结果图谱:(a)粒径分布;(b)单个含铁纳米颗粒实时信号TMAH 中含铁纳米颗粒粒径和浓度由Fe(OH)2 到总铁的质量换算《利用单颗粒ICP-MS在反应模式下测定半导体有机溶剂中的含铁纳米颗粒 》SP-ICP-MS技术测定化学-机械整平(CMP)中使用的元素氧化物纳米颗粒悬浮物的特性氧化铝和氧化铈纳米颗粒常用于纳米电子学和半导体制造行业中化学-机械 (CMP)半导体表面的平整。CMP悬浮物纳米粒子的尺寸分布特征以及大颗粒的辨别,是光刻过程质量控制的重要方面,会影响到硅晶片的质量。既可以测量可溶分析物浓度、又能测定单个纳米粒子的单颗粒模式ICP-MS(SP-ICP-MS)是分析金属纳米粒子的最有前途的技术。SP-ICP-MS技术具有高灵敏度、易操作、分析速度快的特点,纳米粒子引入等离子体中被完全电离,随后离子被质谱仪检测,信号强度与颗粒尺寸有关。因此SP-ICP-MS可为用户提供颗粒浓度(颗/mL),尺寸大小和尺寸分布。为确保一次只检测一个单颗粒,必须稀释样品以实现分辨的目的。这就要求质谱仪必须能够有很快的测量速度,以确保能够检测到在50nm纳米颗粒的瞬时信号(该信号变化的平均时间为300~500μs)。珀金埃尔默NexION系列半导体专用ICP-MS单颗粒操作模式能够采集连续数据,无需设置定位时间,每秒钟获取高达100 000个数据点。结合纳米颗粒分析软件模块,可以实现单颗粒纳米颗粒的准确分析。采集数据比瞬时信号更快的纳米信号积分图悬浮物1~4归一化颗粒尺寸分布频次图《使用单颗粒电感耦合等离子体质谱法(SP-ICP-MS)分析CeO2 化学机械抛光化浆料》On-line ICP-OES 在线监控磷酸中的硅含量在最新的立式3D NAND 闪存的生产工艺中,需要使用磷酸进行湿法刻蚀。在生产过程中,必须监控这种特殊的、高选择性氮化的磷酸中硅的含量,以控制工艺质量。当磷酸中硅含量发生改变时,必须排空并更换磷酸。在线ICP-OES技术响应迅速,可实现7天*24小时不间断检测,是最适合磷酸中硅含量监控的方法。而Avio500 紧凑的体积非常适合空间有限的Fab 厂;垂直炬管配合独特的切割尾焰技术,不需要任何维护也能获得最佳的数据稳定性。在线监控系统可实现:自动配制校准曲线7天*24小时全自动运行质控功能(超出线性范围则重新校准)可同时监控5个模块(多达20个采样点)允许ICP-OES在线或离线分析间切换点击链接获取文中提到的解决方案和更多半导体相关资料:http://e86.me/4qfk7N关于珀金埃尔默:珀金埃尔默致力于为创建更健康的世界而持续创新。我们为诊断、生命科学、食品及应用市场推出独特的解决方案,助力科学家、研究人员和临床医生解决最棘手的科学和医疗难题。凭借深厚的市场了解和技术专长,我们助力客户更早地获得更准确的洞见。在全球,我们拥有12500名专业技术人员,服务于150多个国家,时刻专注于帮助客户打造更健康的家庭,改善人类生活质量。2018年,珀金埃尔默年营收达到约28亿美元,为标准普尔500指数中的一员,纽交所上市代号1-877-PKI-NYSE。了解更多有关珀金埃尔默的信息,请访问www.perkinelmer.com.cn。
  • 暴增82.4%!中国半导体设备需求旺盛
    4月17日,日本财务省公布的统计数据显示,因汽车以及半导体等电子零部件出口增长,带动了日本今年3月份出口额同比增长7.3%至94,696亿日元,连续第4个月呈现增长,创下历史新高,并实现3,665亿日元贸易顺差额(2023年4月为逆差7,508亿日元),也是近3个月来首度出现贸易顺差。据路透社报道称,市场原先预期日本3月贸易顺差额为2,999亿日元,日本财务省公布的顺差额高于市场预期。从日本对各区域的贸易动向来看,3月份日本对美国的出口额较去年同月增加8.5%至18,199亿日元,连续第30个月呈现增长、出口额创下历年同月历史新高纪录;对美国进口额增加3.7%至10732亿日元,连续第3个月呈现增长,进口额创历年同月历史新高。日本当月对美国贸易顺差额较去年同月大增16.3%至7,467亿日元,为连续第14个月增加。3月份日本对中国大陆的贸易逆差额为765亿日元,连续第36个月陷入逆差。日本于3月对中国的出口额大增12.6%至17,475亿日元,连续第4个月增长,出口额创下历年同月历史新高纪录;对中国的进口额同比减少13.9%至18,240亿日元,3个月来第2度陷入萎缩。3月日本对欧盟(EU)的出口额成长3.0%至9,027亿日元(连续第4个月增加),逆差额为900亿日元,连续第2个月陷入逆差。3月日本对亚洲(不含中国)的出口额增加6.6%至50,055亿日元(连4个月增加),顺差额为8,699亿日元,连续第2个月呈现顺差。从具体的出口产品品类来看,今年3月份,日本汽车出口金额同比增加7.1%、半导体等电子零件出口金额同比增长11.3%、船舶出口金额同比大幅增长36.0%。其中,3月份日本对中国的半导体等制造设备出口额较去年同比暴增82.4%。基于此,仪器信息网联合电子工业出版社于5月9-10日组织召开第三届“半导体工艺及封装检测新技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与封装检测技术,从各种半导体制造工艺及封装检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告,点击下方图片即可报名参加。
  • 重大突破!功率半导体封测再添“利器”
    记者27日从中国科学院高能物理研究所(中科院高能所)获悉,由该所济南研究部(济南中科核技术研究院)自主研发、可为功率半导体做“CT”(计算机断层扫描)的功率半导体封测新添“利器”——“全自动绝缘栅双极晶体管(IGBT)缺陷X射线三维检测设备”,近日在湖南株洲举行的功率半导体行业联盟第八届国际学术论坛上亮相推出,备受业界关注。中科院高能所副研究员、锐影检测科技(济南)有限公司(锐影检测)总经理刘宝东博士接受媒体采访介绍说,IGBT是一种功率半导体器件,被誉为电力电子装置的“心脏”,在高铁、新能源汽车、轨道交通、智能电网、航空航天等领域应用广泛。IGBT模块在运行过程中会产生大量的热,需要及时散掉,它通常存在两个焊料层,焊料层气孔会严重影响散热效率,可能导致重大安全事故,因此需要对气孔率严格控制。目前,常用的检测手段是超声检测,但非常容易受散热柱的干扰,导致检测偏差。同时,超声检测要将模块浸入到水中,需要隔离水的工装,还需要人工操作,检测过程复杂,难以实现在线检测,效率较低。此外,普通的二维X光成像会将IGBT模块两个焊料层混在一起,无法区分,并且有些大功率模块带有散热柱,会严重影响气孔检测的准确率。针对这些问题,中科院高能所研发团队基于10余年在大尺寸板状物三维层析成像领域的技术积累,在成功研发专用于板状古生物化石的X射线三维层析成像仪器(1.0版)基础上,面向国家重大需求的工业CT,针对集成电路先进封装的检测需求,突破一系列关键技术,研发出分辨率更高、更成熟的2.0版“全自动IGBT缺陷X射线三维检测设备”。刘宝东称,该2.0版设备依托X射线计算机层析成像技术和先进的缺陷智能检测软件算法,并将人工智能算法引入检测系统,可对不合格产品进行自动识别及分拣,为IGBT模块封测提供全自动在线无损检测解决方案,从而大大提高检测效率,保障IGBT模块的产品品质。他表示,在功率半导体封测设备研发过程中,研发团队也积累了丰富的工程化经验。而作为中科院高能所与地方合作孵化的科技成果转化企业,锐影检测为团队经验技术转化为成熟产品提供了良好平台,从而打通从技术研发到产品应用的“最后一公里”。
  • 赋能创“芯” | 赛默飞助力半导体开启良率提升新征程!
    在指甲盖大小的芯片上布局几十亿个晶体管,集成电路是公认的、人类迄今制造过的最复杂的产品之一,已成为衡量一个国家产业竞争力和综合国力的重要标志。随着芯片制程从微米时代进入纳米时代,逐渐达到半导体制造设备和制造工艺的极限,杂质含量成为非常敏感的存在,对于产线的良率管理和提升成为半导体工业界面临的重要挑战!赛默飞为半导体及相关行业的关键环节提供多层次技术支撑,为客户提供全方位的分析方案,电感耦合等离子体光谱仪(ICP-OES)、电感耦合等离子体质谱仪(ICP-MS)、高分辨电感耦合等离子体质谱仪(HR-ICP-MS)、辉光放电质谱仪(GD-MS),全面的产线为半导体痕量金属元素分析保驾护航;全球领先的离子色谱(IC)可提供先进的痕量离子态杂质解决方案,挑战离子检测极限;更有气质联用仪(GCMS)提供的洁净空气VOCs检测方案、高分辨质谱仪加持对半导体材料未知物定性定量的检测等。从半导体材料、集成电路制造到封装测试,赛默飞能为半导体制造过程的质量控制提供稳健可靠的分析方法,助力全面提升产品良率!&bull 赛默飞全方位的ICPMS技术,拥有从单杆到三重四极杆以及高分辨ICPMS全产品线平台,具有差异化的干扰去除技术,提高生产力,广泛用于半导体行业用材料的质量控制分析,避免由样品制备引起的污染。 晶圆表面VPD-ICPMS检测方案在生产制造过程中,常用气相分解-电感耦合等离子体质谱联用(VPD-ICP-MS)方法检测硅晶片纯度,其纯度要求在99.9999999% 以上。在高含量的酸和硅基体中,目标检测元素的含量非常低,赛默飞三重四极杆ICPMS可在多种分析模式之间进行可靠切换,为所有分析物灵活提供最佳分析条件,具有高灵敏度和准确性,对于检测VPD样品,能有效去除大量多原子离子干扰,得到更加精准的结果。湿电子化学品ICPMS检测方案大规模集成电路制造需要使用大量的超纯水和高纯度湿电子化学品,如硫酸、氨水、氢氟酸、盐酸和双氧水等,晶圆通常以传统的&ldquo RCA Clean&rdquo 标准清洗流程进行,除了超纯水外,需要用到清洗液以不同类型化学品和配比,清除相应的污染物。赛默飞半导体ICPMS(单杆、三重四极杆、高分辨)解决方案可适用于半导体实验室分析以及生产中制程化学品的实时监测,适应于不同纯度级别试剂的测定,并避免由样品制备引起的污染。半导体材料检测方案GD-MS(辉光放电质谱仪)是在双聚焦高分辨质谱的技术上,采用快速流辉光放电离子源,实现高纯固体样品直接分析的最佳工具,具有检出限低、基体效应小、制样简单和全元素快速检测的突出优势。针对半导体行业需求,可实现高纯(&ge 5N)铜、铝、钛、钽、钼等高纯溅射靶材中70种以上杂质元素快速检测;硅、碳化硅(SiC)、氮化镓(GaN)等基材从原料至晶圆的全元素杂质检测;SiC等外延片镀层化学成分及杂质含量分布。&bull 赛默飞先进的离子色谱和相关技术能为高纯水痕量阴阳离子分析提供离线和在线监测方案,为电子级高纯试剂中ppb-ppm级阴离子和百分比级混酸的含量提供检测方案,并为半导体生产环境空气中痕量阴阳离子的分析提供解决方案。 湿电子化学品谱睿技术检测方案以高纯氢氟酸检测为例,SEMI推荐赛默飞的谱睿二维方案,一维色谱中使用排斥柱将氟离子和其他常见阴离子预分离,通过调节保留时间窗口,将高浓度的氟离子排到废液中,其他阴离子被选择性浓缩富集,富集的阴离子部分在二维色谱中通过离子交换方式实现分离检测,实现对高纯氢氟酸中痕量阴离子杂质的检测。 超纯水在线监测方案半导体级超纯水生产过程中,传统分析方法往往需要离线采样,赛默飞提供Integral在线离子色谱方案,通过多位点自动采样、浓缩和分析的监测,实现对超纯水中多种阴阳离子污染物24H/7D在线监测,为集成电路生产稳定高效运转提供保障。 △赛默飞离子色谱过程实时监控分析-Integral光刻胶中卤素含量测定(在线燃烧离子色谱法)光刻胶是光刻工艺最重要的耗材,光刻胶的质量对光刻精度至关重要,其样品状态粘稠,含有树脂、单体、光引发剂等复杂基质,无法直接进样分析。对于光刻胶及相关材料中痕量卤素的检测,赛默飞推出CIC在线燃烧离子色谱法,通过燃烧消除基质影响,燃烧后的吸收液进样离子色谱检测阴离子,残渣溶解后进样ICPMS检测金属离子,实现一样两用,对光刻胶样品进行全面分析。 对于贯穿整个生产工艺流程的洁净室和微环境,赛默飞能为废水、废气中的各项污染物提供准确的分析解决方案,以及提供VOCs和污染离子的24小时在线监测。&bull 化学分析与检测对集成电路生产非常重要,确保芯片生产质量,改善良品率,赛默飞全线产品拥有应对半导体所有挑战的技术。除了常规检测外,还有多项赛家半导体独门武艺蓄势待发,如高分辨液质联用加持生产中未知物定量定性检测、GDMS赋能靶材、高纯硅中的杂质检测、半导体材料全面检测方案等系列特色检测方案,将在后续赛默飞赋能创&ldquo 芯&rdquo 系列文章中逐一道来,敬请期待!长按识别下方二维码或点击阅读原文,进入赛默飞色谱与质谱半导体解决方案专题页面。
  • 围观半导体企业硅材料测试实验室都在用哪些仪器?
    硅材料是半导体行业应用最广泛的半导体材料,是集成电路晶圆制造的主要原料。集成电路材料产业技术创新联盟联合分析检测与技术合作服务平台是材料联盟牵头,由多家半导体领域高校、企业及实验室等共建单位积极参与建设的专业化服务平台,目前共发布320多台仪器,涉及硅材料、光刻材料、电子气体、工艺化学品、封装材料、抛光材料、溅射靶材等多个不同领域。仪器信息网特将其中硅材料测试仪器进行整理,看知名半导体企业及实验室都购置了哪些硅材料测试仪器。(所统计仪器,部分仪器可能存在并列或包含关系,未进行区分)硅材料测试用仪器共55台(套),其中电子天平、电感耦合等离子质谱仪数量最多。硅材料测试用仪器数量统计仪器台(套)数量电子天平4电感耦合等离子体质谱仪4微控数显电加热板2数字式硅晶体少子寿命测试仪2磷检区熔炉2激光粒子计数器2等离子聚焦离子束2紫外/可见分光光度计1原子力显微镜1研磨机1硝酸提纯仪1显微红外分析仪1微机控制万能(拉力)试验机1微波消解仪1透视式电子显微镜1透射电子显微镜1少子寿命分析仪1扫描电镜系统1三维光学轮廓仪1能量色散型X射线荧光分析仪1纳米粒度仪1两探针电阻率测试仪1离子色谱仪1离子色谱1扩展电阻测试仪1聚焦离子束1精密研磨机1精密切割机1金相显微镜1激光散射粒径分布分析仪1傅立叶变换红外光谱仪1非金属膜厚仪1飞行时间二次离子质谱仪1多功能颗粒计数仪1电感耦合等离子体发射光谱仪1电感耦合等离子发射光谱仪1低温傅立叶变换红外光谱仪1低温傅里叶变换红外光谱仪1导电型号测试仪1超纯水系统1半导体参数测试仪1α-粒子计数器1CNC视像测量系统(三次元)13D立体显微镜1仪器所属单位中,55台(套)仪器分别来自于9家半导体企业及实验室。仪器所属企业统计单位名称台(套)数量江苏鑫华半导体材料科技有限公司23工业和信息化部电子第五研究所(中国赛宝实验室)16上海新安纳电子科技有限公司5南京国盛电子有限公司3洛阳中硅高科技有限公司3无锡华润上华科技有限公司2沁阳国顺硅源光电气体有限公司1纳瑞科技(北京)有限公司1江阴江化微电子材料股份有限公司1以下为硅材料测试用仪器的具体信息:硅材料测试仪器及型号仪器型号所属单位飞行时间二次离子质谱仪TOF.SIMS 5工业和信息化部电子第五研究所(中国赛宝实验室)非金属膜厚仪3100江阴江化微电子材料股份有限公司金相显微镜DM8000、DM3 XL等工业和信息化部电子第五研究所(中国赛宝实验室)透视式电子显微镜Tecnai F20无锡华润上华科技有限公司透射电子显微镜FEI Tecnai G 2 F20、OXFORD 能谱工业和信息化部电子第五研究所(中国赛宝实验室)超纯水系统Milli-Q Advantage A10江苏鑫华半导体材料科技有限公司能量色散型X射线荧光分析仪EDX-720工业和信息化部电子第五研究所(中国赛宝实验室)聚焦离子束FEI DB835无锡华润上华科技有限公司纳米粒度仪NiComp 380 ZLS上海新安纳电子科技有限公司紫外/可见分光光度计UV-MINI 1240工业和信息化部电子第五研究所(中国赛宝实验室)精密研磨机UNIPOL-802江苏鑫华半导体材料科技有限公司精密切割机SYJ-150江苏鑫华半导体材料科技有限公司等离子聚焦离子束FEI-235/FEI-835/FEI-200/FEI-800纳瑞科技(北京)有限公司等离子聚焦离子束双束FIB Helios G4 CX工业和信息化部电子第五研究所(中国赛宝实验室)离子色谱仪ICS-900上海新安纳电子科技有限公司离子色谱882 Compact IC plus江苏鑫华半导体材料科技有限公司磷检区熔炉WJ-FZ30A江苏鑫华半导体材料科技有限公司磷检区熔炉FZ350/20江苏鑫华半导体材料科技有限公司硝酸提纯仪DST-4000江苏鑫华半导体材料科技有限公司研磨机metaserv250江苏鑫华半导体材料科技有限公司电感耦合等离子发射光谱仪VARIAN 710-ES上海新安纳电子科技有限公司电感耦合等离子体质谱仪iCAP RQ沁阳国顺硅源光电气体有限公司电感耦合等离子体质谱仪7700S南京国盛电子有限公司电感耦合等离子体质谱仪8900江苏鑫华半导体材料科技有限公司电感耦合等离子体质谱仪7700S江苏鑫华半导体材料科技有限公司电感耦合等离子体发射光谱仪5100工业和信息化部电子第五研究所(中国赛宝实验室)电子天平XPE504江苏鑫华半导体材料科技有限公司电子天平XPE105江苏鑫华半导体材料科技有限公司电子天平ME-204E江苏鑫华半导体材料科技有限公司电子天平JE1002江苏鑫华半导体材料科技有限公司激光粒子计数器KC-24江苏鑫华半导体材料科技有限公司激光粒子计数器HHPC-6+江苏鑫华半导体材料科技有限公司激光散射粒径分布分析仪LA-960上海新安纳电子科技有限公司显微红外分析仪NicoletIS50+Continuum工业和信息化部电子第五研究所(中国赛宝实验室)数字式硅晶体少子寿命测试仪LT-100C洛阳中硅高科技有限公司数字式硅晶体少子寿命测试仪LT-100C江苏鑫华半导体材料科技有限公司扫描电镜系统冷场发射扫描电子显微镜 Regulus8230 热场发射扫描电子显微镜 MIRA3 XMH 离子溅射镀膜仪 Q150TS 能谱仪 Octane Elect Plus 电子背散射衍射仪相机 Hikari Plus X射线能谱仪 Octane Elect Su工业和信息化部电子第五研究所(中国赛宝实验室)扩展电阻测试仪SSM2000南京国盛电子有限公司微波消解仪MARS6江苏鑫华半导体材料科技有限公司微机控制万能(拉力)试验机CMT5105、6502工业和信息化部电子第五研究所(中国赛宝实验室)微控数显电加热板HP51江苏鑫华半导体材料科技有限公司微控数显电加热板EG20B江苏鑫华半导体材料科技有限公司少子寿命分析仪FAaST210南京国盛电子有限公司导电型号测试仪STY-3江苏鑫华半导体材料科技有限公司多功能颗粒计数仪AccuSizer 780 APS上海新安纳电子科技有限公司原子力显微镜Dimension® Icon™ 工业和信息化部电子第五研究所(中国赛宝实验室)半导体参数测试仪B1500/B1500A/B15005A工业和信息化部电子第五研究所(中国赛宝实验室)傅立叶变换红外光谱仪Nicolet iS50洛阳中硅高科技有限公司低温傅里叶变换红外光谱仪CryoSAS江苏鑫华半导体材料科技有限公司低温傅立叶变换红外光谱仪CryoSAS洛阳中硅高科技有限公司两探针电阻率测试仪KDY-20江苏鑫华半导体材料科技有限公司三维光学轮廓仪VK-X250K工业和信息化部电子第五研究所(中国赛宝实验室)α-粒子计数器UltraLo-1800工业和信息化部电子第五研究所(中国赛宝实验室)CNC视像测量系统(三次元)O-INSPECT543工业和信息化部电子第五研究所(中国赛宝实验室)3D立体显微镜VHX-6000工业和信息化部电子第五研究所(中国赛宝实验室)推荐阅读:半导体行业湿电子化学品常用检测仪器及技术盘点
  • 直播预告!半导体可靠性测试和失效分析技术篇
    2023年10月18-20日,仪器信息网(www.instrument.com.cn) 与电子工业出版社将联合主办第四届“半导体材料与器件分析检测技术与应用”主题网络研讨会。iCSMD 2023会议围绕光电材料与器件、第三代半导体材料与器件、传感器与MEMS、半导体产业配套原材料等热点材料、器件的材料分析、失效分析、可靠性测试、缺陷检测和量测等热点分析检测技术,为国内广大半导体材料与器件研究、应用及检测的相关工作者提供一个突破时间地域限制的免费学习平台,让大家足不出户便能聆听到相关专家的精彩报告。本次大会分设:半导体材料分析技术新进展、可靠性测试和失效分析技术、可靠性测试和失效分析技术(赛宝实验室专场)、缺陷检测和量测技术4个主题专场,诚邀业界人士报名参会。主办单位:仪器信息网,电子工业出版社参会方式:本次会议免费参会,参会报名请点击会议官网:https://www.instrument.com.cn/webinar/meetings/icsmd2023/ 或扫描二维码报名“可靠性测试和失效分析技术(上午场)”专场预告(注:最终日程以会议官网为准)时间报告题目演讲嘉宾专场:可靠性测试和失效分析技术(10月19日上午)9:30碳化硅器件的新型电力系统应用与可靠性研究田鸿昌(中国电气装备集团科学技术研究院有限公司 电力电子器件专项负责人)10:00集成电路激光试验测试技术研究马英起(中国科学院国家空间科学中心 正高级工程师)10:30失效半导体器件检测技术及案例分享江海燕(北京软件产品质量检测检验中心 集成电路测评实验室项目经理)11:00半导体元器件材料分析、失效分析技术与案例解析贾铁锁(甬江实验室微谱(浙江)技术服务有限公司 失效分析工程师)嘉宾简介及报告摘要(按分享顺序)田鸿昌 中国电气装备集团科学技术研究院有限公司 电力电子器件专项负责人【个人简介】田鸿昌,工学博士,博士后,高级工程师,主要从事宽禁带半导体功率器件与应用研究。2010年于西安电子科技大学自动化专业获学士学位,2015年于上海交通大学电子科学与技术专业获博士学位,2017年-2020年作为浙江大学-中国西电集团有限公司联合培养博士后从事电气工程专业研究。现任中国电气装备集团科学技术研究院电力电子器件专项负责人、中国电气装备集团有限公司科学技术委员会电力电子专家委员,兼任中国电工技术学会电力电子专委会委员、中国西电集团有限公司高层次科技创新领军人才、陕西省半导体与集成电路共性技术研发平台技术负责人、西安电子科技大学和西安交通大学研究生校外导师、陕西省电源学会常务理事、陕西省秦创原“科学家+工程师”团队首席工程师、陕西省“三秦学者”创新团队骨干成员。获得授权发明专利18项,发表学术论文20余篇,出版专著1部。主持科技部国家重点研发计划课题“高可靠性碳化硅MOSFET器件中试生产关键技术研究”,主持和参与国家级、省市级、企业级科研项目10余项。报告题目:碳化硅器件的新型电力系统应用与可靠性研究【摘要】报告首先从“双碳”目标下新型电力系统的发展需求,联系到碳化硅功率半导体器件的特性优势与发展现状,而后讨论了碳化硅功率在新型电力系统的多方面应用情况,最后介绍了对碳化硅器件发展起着重要作用的可靠性测试研究与相应的研究进展。马英起 中国科学院国家空间科学中心 正高级工程师【个人简介】马英起,男,中国科学院国家空间科学中心正高级工程师,太阳活动与空间天气重点实验室空间天气效应中心主任,中科院大学博士生导师,中科院青促会优秀会员,中国光学工程学会激光技术应用专委会委员。主要研究方向为航天器空间环境效应研究与应用、电路与电子系统设计。在卫星器件电路抗辐射研究领域,系统开展辐射效应机理、评估及加固设计验证技术研究,形成的单粒子效应脉冲激光关键技术相关研究成果及系列抗辐射试验平台,支撑了空间科学先导专项、载人航天空间站、月球与深空探测、核高基、高分六号等国家重大任务,形成国家级标准2项。近年来发表论文50余篇、授权发明专利10余项,获省部级科技进步一等奖1项、二等奖1项。报告题目:集成电路激光试验测试技术研究【摘要】概述基于激光光电效应、光热效应、电光效应等机制,开展航天单粒子效应及集成电路缺陷检测应用研究。江海燕 北京软件产品质量检测检验中心 集成电路测评实验室项目经理【个人简介】擅长半导体集成电路失效分析FIB,SEM,EDX,SAT,EMMI,Decap,X-RAY,IV,Probe,OM分析等。报告:失效半导体器件检测技术及案例分享【摘要】本次报告聚焦于集成电路失效分析技术分享,从失效分析的研究方法展开,重点分享失效分析检测手段应用,包含设备基本功能介绍和案例展示,致力于检测技术推广。贾铁锁 甬江实验室微谱(浙江)技术服务有限公司 失效分析工程师【个人简介】贾铁锁,毕业于大连海事大学材料科学与工程专业,对电子元器件失效模式和失效机理有丰富的理论和实践经验,为产品失效分析提供专业解决方案。甬江实验室材料分析与检测中心失效分析技术工程师,长期从事半导体器件失效分析工作,对元器件可靠性、失效分析、失效模式、失效机理等基本概念有科学认知,熟悉电子元器件常见失效模式与失效机理,建立一套对不同元器件失效分析的思路和方法,通过坚实的理论基础与科学的检测仪器分析相结合,解决元器件失效分析相关问题。报告:半导体元器件材料分析、失效分析技术与案例解析【摘要】 报告如下 1. 半导体元器件门类,16大类49小类,挑选部分元器件做讲解。 2. 失效分析的相关介绍:定义和作用、典型失效机理介绍、失效分析的一般流程、关键站点的介绍等 3. 分析技术:方法论和技术介绍,常用失效分析方法,常用技术分析,诸如电性测试、样品制备、失效点定位,FIB微区加工等 4. 失效分析案例解析。会议联系会议内容仪器信息网康编辑:15733280108,kangpc@instrument.com.cn会议赞助周经理,19801307421,zhouhh@instrument.com.cn
  • 直播预告!半导体材料分析技术进展:分析仪器如何助力材料检测
    2023年10月18-20日,仪器信息网(www.instrument.com.cn) 与电子工业出版社将联合主办第四届“半导体材料与器件分析检测技术与应用”主题网络研讨会。iCSMD 2023会议围绕光电材料与器件、第三代半导体材料与器件、传感器与MEMS、半导体产业配套原材料等热点材料、器件的材料分析、失效分析、可靠性测试、缺陷检测和量测等热点分析检测技术,为国内广大半导体材料与器件研究、应用及检测的相关工作者提供一个突破时间地域限制的免费学习平台,让大家足不出户便能聆听到相关专家的精彩报告。本次大会分设:半导体材料分析技术新进展、可靠性测试技术新进展、半导体失效分析技术、缺陷检测和量测技术4个主题专场,诚邀业界人士报名参会。主办单位:仪器信息网,电子工业出版社参会方式:本次会议免费参会,参会报名请点击会议官网:https://www.instrument.com.cn/webinar/meetings/icsmd2023/或扫描二维码报名“半导体材料分析技术新进展”专场预告(注:最终日程以会议官网为准)时间报告题目演讲嘉宾专场1:半导体材料分析技术新进展(10月18日)专场主持暨召集人:汪正 中国科学院上海硅酸盐研究所 研究员9:30等离子体质谱在半导体用高纯材料的分析研究汪正(中国科学院上海硅酸盐研究所 研究员)10:00有机半导体材料的质谱分析技术王昊阳(中国科学院上海有机化学研究所 高级工程师)10:30牛津仪器显微分析技术在半导体中的应用进展马岚(牛津仪器科技(上海)有限公司 应用工程师)11:00氮化物半导体的原子尺度晶格极性研究(拟)王涛(北京大学 高级工程师)11:30集成电路材料国产化面临的性能检测需求王轶滢(上海集成电路材料研究院 性能实验室总监)午休14:00离子色谱在高纯材料分析中的应用李青(中国科学院上海硅酸盐研究所 助理研究员)14:30拉曼光谱在半导体晶圆质量检测中的应用刘争晖(中国科学院苏州纳米技术与纳米仿生研究所 教授级高级工程师)15:00半导体—离子色谱检测解决方案王一臣(青岛盛瀚色谱技术有限公司 产品经理)15:30共宽禁带半导体色心的能量束直写制备及光谱表征徐宗伟(天津大学精密测试技术及仪器国家重点实验室 教授)嘉宾简介及报告摘要(按分享顺序)汪正 中国科学院上海硅酸盐研究所 研究员【个人简介】汪正,博士,中国科学院上海硅酸盐研究所研究员、博士生导师、材料谱学组分表征与应用课题组组长。研究方向为原子光谱/质谱/色谱基础和应用研究、光谱质谱新型仪器的研发和先进材料制备表征及在分析化学和环境化学的应用研究。曾先后负责科技部国家仪器研制重大专项、国家自然科学青年和面上基金、中科院仪器研制项目、中科院仪器设备功能开发技术创新项目和上海科委基金等。是国际期刊《Atomic Spectroscopy》、《Chinese Chemical Letters》和《光谱学与光谱分析》期刊编委。以第一和通讯作者在国内外同行认可的高水平期刊Anal. Chem., J. Anal. At. Spectrom.,Spectrochim. Acta Part B,Anal. Chim. Acta 等发表论文100 余篇,出版学术专著2 部,建立国家标准3 项,获授权专利17项。2010 和2018 年两次获得中国分析测试协会科学技术奖励(排名均为第一)。报告题目:等离子体质谱在半导体用高纯材料的分析研究【摘要】材料是制造业的基础,高纯材料是半导体制造业的最重要环节之一,高纯材料的纯度分析与表征是纯化工艺中的一个重要环节,对材料性质研究和工艺改进至关重要。本报告主要介绍电感耦合等离子体质谱法在高纯有机/无机半导体用材料方向的工作。王昊阳 中国科学院上海有机化学研究所 高级工程师【个人简介】2000年本科毕业于中国药科大学药学院药物分析专业;2003年获得中国药科大学与上海有机化学研究所联合培养硕士学位;2006年获得中国科学院上海有机化学研究所的博士学位;后前往德国奥尔登堡大学化学系博士后;2008年开始任中国科学院上海有机化学研究所,副研究员;2017年–至今担任中国科学院上海有机化学研究所公共技术服务中心质谱组课题组长。报告题目:有机半导体材料的质谱分析技术【摘要】根据有机半导体材料领域具体的测试需求和测试对象的不同,建立体系化的质谱分析方法与手段,结合顶空气相色谱对挥发性有机物进行分析,结合ESI以及(AP-)MALDI对小分子有机半导体材料进行表征与分析,再结合热裂解分析对有机半导体材料中的聚合物及其相关添加剂进行分析。马岚 牛津仪器科技(上海)有限公司 应用工程师【个人简介】2012年获得上海交通大学材料科学与工程学院博士学位,博士研究镁合金的时效强化机制及变形机制,主要利用TEM、SEM、 EBSD等手段进行表征。2012-2015年间在日本物质材料研究所进行博后工作,期间研究的课题为高强韧镁合金的开发及磁性材料微结构表征,利用HAADF-STEM、SEM、EBSD及3DAP进行材料表征,熟悉掌握FIB及纳米操作手。2015年回国加入牛津仪器公司,主要负责EDS、WDS、EBSD、OP的推广及技术支持。报告题目:牛津仪器显微分析技术在半导体中的应用进展【摘要】能谱(EDS)是半导体失效分析中常用的检测手段,但它只能揭示元素的异常,如果要对晶圆进行其他物性(如粗糙度、掺杂浓度、电势电位和内应力等)的分析,则需借助电子背散射衍射(EBSD)、原子力显微镜(AFM)和拉曼光谱(Raman)进行多尺度、多方位的检测和分析。 本报告将从结合三代半导体的痛点,展开介绍牛津仪器材料分析手段的进展及其在三代半导体中的应用,内容包括使用EBSD检测外延片位错,利用Raman分析碳化硅晶芯片晶型和微管类型及其带来的应力变化,以及采用AFM的SCM模式检测电容,并定量载流子浓度的最新应用。王轶滢 上海集成电路材料研究院 性能实验室总监【个人简介】从事半导体与集成电路领域技术研发、战略研究与规划工作多年。现承担负责上海市及国家集成电路材料重大项目测试平台课题,推进集成电路材料测试的科学评价体系建设,加速促进国产化替代。报告题目:集成电路材料国产化面临的性能检测需求李青 中国科学院上海硅酸盐研究所 助理研究员【个人简介】博士,中国科学院上海硅酸盐研究所助理研究员。主要从事高纯材料分析方法开发、光谱质谱仪器研制等工作。先后主持承担了包括国家自然科学基金、上海科委项目、中国科学院仪器功能开发项目等各类研发项目5项。目前在Anal. Chem., Anal. Chim. Acta等国际期刊发表论文10余篇,获授权国内专利14项,美国专利1项。报告题目:离子色谱在高纯材料分析中的应用【摘要】 阴阳离子分析涉及生物医学、集成电路、环境、食品安全等重要研究课题。利用离子色谱技术测定离子态物质的检测方法,分析速度快、灵敏度高、选择性好,已被广泛应用。本报告将主要介绍高纯电子试剂、高纯晶体、OLED材料中痕量卤素离子的分析方法。刘争晖 中国科学院苏州纳米技术与纳米仿生研究所 教授级高级工程师【个人简介】正高级工程师、博士生导师、中科院青年创新促进会会员、中科院关键技术人才。中科院苏州纳米所真空互联实验站工作,研发基于扫描探针的微纳米尺度光、电、力学综合测试分析设备和相关技术;开展基于新装备和新方法的应用基础研究。 主要成果: (1) 主持和参与中科院、基金委和科技部的多项仪器和表征技术研发项目,自主研制基于扫描开尔文探针的深紫外扫描近场光电探针系统,实现深紫外时间分辨光谱与表面光电压谱的同位微区测量,从时间和空间两个维度,以皮秒的时间分辨率和纳米级的空间分辨率对半导体光电材料的表面性质进行表征,从而为微观机制的探索提供有力的武器。 (2) 发展了基于光辅助扫描开尔文探针显微镜的新型扫描扩散显微术方法,定量测量光吸收系数、扩散长度、载流子寿命以及扩散系数的空间分布和变化,揭示了缺陷、相分离等微观结构对纳米光电性质的影响。 (3) 对氮化镓与石墨烯二维材料的界面输运性质进行了系统的研究,从实验和理论上系统阐明了石墨烯浮动费米面的特性对异质结电学输运性质的影响,发展了半导体表面测量二维材料微区迁移率的方法。 (4) 制定了国家标准GB/T 32189-2015 《氮化镓单晶衬底表面粗糙度的原子力显微镜检验法》,并取得相关实验室认证资格,为产业提供了大量支撑服务。报告题目:拉曼光谱在半导体晶圆质量检测中的应用【摘要】 半导体晶圆质量检测目前普遍采用工业视觉检测方法对全晶圆质量和缺陷进行评估,但诸如组分、应力、载流子浓度等关键物理性质的分布不均匀,难以通过视觉检测方法获得,这时光谱学的手段是重要的补充方法。光穿过介质时被原子和分子散射的光发生频率变化,该现象称为拉曼散射。拉曼光谱的强度、频移、线宽、特征峰数目以及退偏度与分子的振动能态、转动能态、对称性等紧密相关,广泛地应用于半导体材料的质量监控、失效分析,可用于检测组分、应力、载流子浓度、温度、晶向和缺陷等信息。通常的共聚焦拉曼测试由于信号较弱、对聚焦稳定性要求较高,常常只局限于单点或少量采样点。而对大到8寸乃至12寸全晶圆范围的覆盖性检测,可能会极大地帮助改进工艺制程和产品质量。我们通过一些的典型的案例,例如结晶硅薄膜晶化率测试,第三代半导体晶圆的应力和载流子浓度检测,以及多层复杂器件结构的综合性质检测,展示了拉曼光谱在半导体晶圆质量检测中的应用前景。王一臣 青岛盛瀚色谱技术有限公司 产品经理【个人简介】硕士研究生,现任青岛盛瀚色谱技术有限公司产品经理。目前主要负责青岛盛瀚公司离子色谱实验室类、在线类仪器以及联机类仪器的应用方法的开发和技术支持工作,拥有仪器分析行业多年的工作经验。对离子色谱行业有深刻见解,对设备选型、市场调研、需求管理等有丰富经验。报告题目:半导体—离子色谱检测解决方案【摘要】 针对半导体行业中,离子色谱技术对于检测其中的杂质阴离子具有的得天独厚的优势,本次盛瀚就针对半导体行业离子色谱方面做出的工作进行分享。徐宗伟 天津大学精密测试技术及仪器全国重点实验室 教授【个人简介】徐宗伟,天津大学,教授,博士/硕士生导师。中国电子显微镜学会聚焦离子束FIB专业委员会委员,中国微米纳米技术学会微纳米制造及装备分会理事。主要从事宽禁带半导体,微纳/原子尺度制造,拉曼/光致发光光谱,以及纳米功能器件设计、制备及应用。作为负责人获批十余项国家级项目,包括五项国际合作交流项目,其中一项被英国皇家学会列入“牛顿基金”项目。与德国弗朗霍夫协会、中电集团等宽禁带半导体企业和研究所开展紧密合作。研究成果受邀作主题报告/特邀报告30余次。报告题目:宽禁带半导体色心的能量束直写制备及光谱表征【摘要】碳化硅SiC、六方氮化硼hBN和金刚石等宽禁带半导体是制造量子及高功率半导体器件的优良材料。基于氦离子束、飞秒激光等超快能量束加工、变温光致发光光谱、分子动力学模拟等研究方法,研究了SiC硅空位/双空位色心、hBN和金刚石色心等加工产率,开展了飞秒激光原位退火、微结构阵列等色心荧光增强方法研究,基于共聚焦光致发光光谱表征了色心三维分布。会议联系会议内容康编辑:15733280108,kangpc@instrument.com.cn会议赞助周经理,19801307421,zhouhh@instrument.com.cn
  • 半导体行业试剂篇——那些不可不提的酸
    半导体行业试剂篇——那些不可不提的酸 关注我们,更多干货和惊喜好礼在上篇文章中,我们主要介绍了半导体行业中关于芯片生产需要严格关注空气与纯水的质量。然而除了环境空气与超纯水,还有一部分是需要关注的就是化学试剂。在电子产品的生产过程中需要用到的试剂是电子级试剂,要求电性杂质含量极低,才可以控制产品最终的质量。而有些半导体材料中甚至会人为加入一些特定的成分,从而其电导性能才具有可控性,因此试剂中杂质离子的含量,就变得尤为重要。 那么涉及到半导体的试剂有哪些呢?他们的作用分别是什么呢?我们大致可以将其分为三类:酸(如氢氟酸、硝酸、硫酸等)、碱(氢氧化钾、氢氧化钠、氢氧化铵等)、溶剂(异丙醇、丙酮等),本篇主要给大家介绍酸。 半导体中常用的酸国际半导体设备与材料产业协会(semi)对这有各种明确的标准规定(见下表,单位为ppm,以最gao级别算)。那么对于这些高纯度的试剂中的杂质离子,我们怎么样去测试呢?测试过程中会遇到什么样的问题呢?今天我们首先针对不同种类的酸,且看赛默飞离子色谱为大家提出的一个个的解决方案!高纯试剂——氢氟酸、磷酸中的杂质利用这两种酸均为弱酸的特点,因此可采用同一方法——柱切换进行分析,相关标准分别为:semi c28 氢氟酸中的阴离子、gbt 31369-2015;semi c36 浓磷酸中的阴离子、gbt 28159-2011。氢氟酸(hf)、磷酸(h3po4)、乙酸(ch3cooh)均为弱酸,利用排斥柱donnan原理,弱酸及有机酸在排斥柱上有保留而无机阴离子没有保留的特点,我们采取柱切换的方式可以将以弱酸为基体的主成分切换掉,同时无机阴离子进入到浓缩柱中进行富集。再经过高容量色谱柱进行分离,可以准确测定氢氟酸与浓磷酸中无机阴离子含量,避免了高浓度基质的干扰,且检出限可达10ppb。 氢氟酸中常见阴离子谱图浓磷酸的离子排斥色谱图(1. 强酸离子;2. 磷酸根)浓磷酸中常见阴离子谱图高纯试剂——浓硝酸中阴离子弱酸的方案我们得到了解决,那么无机强酸中的阴离子怎么去解决呢?这又面临着新的挑战,硝酸是无机强酸,柱切换的方式已然不可用,那么这次挑战得到解决有赖于我们赛默飞特有的高容量色谱柱,高容量色谱柱可以保证即使在出现高基体的情况下,也不会导致色谱柱饱和且不会影响痕量离子的分离度,稀释50倍后,浓度差可达十万倍,进样分析谱图如下,检出限可达1ppm。 75%硝酸稀释50倍进样高纯试剂——浓硫酸中杂质阴离子恭喜飞飞又完成了一项挑战,解决了浓硝酸中痕量阴离子的问题,可是挑战还有哦,浓硫酸的问题又该如何解决呢?浓硫酸是二元强酸,且保留很强,那么赛默飞有那么多款色谱柱,总有一款适合你(浓硫酸),选择合适的高容量色谱柱,使得硫酸根离子既不会饱和色谱柱,也可以与待测离子有较好的分离度,也可以做到直接稀释进样哦。硫酸稀释后测试后谱图硫酸稀释后加标谱图(分别加标20、30、50ppb)高纯试剂——盐酸中杂质阴离子强酸体系中,还有一员大将——浓盐酸,高容量色谱柱依然是解决该方案的首要因素,可以很好分离高基体中的痕量物质,浓盐酸稀释200倍后可直接进样进行分析,谱图如下: 0.5% hcl及其加标谱图(50ppb) 这么多年以来,赛默飞离子色谱与半导体行业一起成长,为各大半导体企业及其供应链上下游行业提供稳定的技术支持与可靠的数据保证。下面附上可实现上述功能的离子色谱全明星阵容。thermo scientific™ dionex™ ics-6000 离子色谱仪thermo scientific™ dionex™ integrion 离子色谱仪thermo scientific™ dionex™ aquion™ rfic™ 离子色谱仪“码”上下载 填写表单即刻获取【赛默飞dionex离子色谱产品系列】 扫描下方二维码即可获取赛默飞全行业解决方案,或关注“赛默飞色谱与质谱中国”公众号,了解更多资讯+了解更多的产品及应用资讯,可至赛默飞色谱与质谱展台。https://www.instrument.com.cn/netshow/sh100244/
  • AI驱动半导体向上 再议创新合作|第二届半导体第三方分析检测生态圈战略大会召开
    仪器信息网讯 2024年7月25日,第二届半导体第三方分析检测生态圈战略大会在苏州召开。大会由胜科纳米(苏州)股份有限公司主办,以主论坛会议、圆桌会议及专业展览的形式开展高峰对话,会议前夕举行了国际合作论坛和生态圈闭门会议。会议吸引半导体产业链上下游龙头企业负责人等500多名嘉宾出席,覆盖芯片设计、晶圆制造、设备材料、封装测试、消费终端、分析检测实验室等领域400多家国内外企业及科研院所,为半导体产业生态圈企业打开一扇观察行业标准化及差异化发展、技术创新、人才培育的活力之窗。大会现场主论坛会议上,十余位行业大咖带来了前沿的技术分享和创新发展的报告,不仅涵盖了半导体产业生态的创新发展与协同合作,还包括了第三方分析检测服务机构评价体系的构建、实验室智能化系统的应用与发展等。演讲嘉宾:胜科纳米(苏州)股份有限公司 董事长 李晓旻演讲题目:半导体行业周期和周期内的赛道轮回报告伊始,李晓旻回顾了半导体分析实验室过去40年的演变历程。各年代实验室的主要技术从最初的光学显微镜到透射电镜,再到现代失效分析综合系统的变迁,半导体分析实验室的技术发展极大提升了对纳米级芯片的观察能力。在半导体产业链专业化分工浪潮下,Labless模式应运而生。接着,李晓旻从半导体分析实验室的发展历程、半导体行业细分的要求、设备和人才痛点的需求以及分析检测赛道对半导体行业周期的判断等方面,详细阐述其首创Labless商业理念的初衷及对行业现状和未来前景的深刻见解。对于未来半导体行业的发展,李晓旻认为,人工智能将成为推动行业发展的新动力,而半导体行业也将迎来更加广阔的应用前景。此外,也强调了建立严谨的评价体系对于第三方实验室的重要性。他认为,只有通过科学的评价体系,才能确保实验室的服务质量和水平,从而推动整个行业的健康发展。最后,李晓旻表示,胜科纳米将始终关注半导体行业的发展动态和技术创新,与业界同仁共同努力,为推动我国半导体行业的进步和发展贡献力量。演讲嘉宾:中国半导体行业 资深专家 江涛演讲题目:发展新质生产力对中国半导体第三方测试机构的高标准和严要求随着人工智能技术的飞速发展,半导体行业面临着前所未有的挑战和机遇。人工智能大模型的工作特点对半导体行业提出了更高的要求。为了跟上人工智能摩尔定律的步伐,半导体行业需要持续创新,提高技术水平。此外,先进封装技术将成为半导体行业的一个重要发展方向,为半导体市场带来更多的机会和挑战。江涛表示,第三方测试行业在新的发展背景下,需要不断提升技术水平和服务质量,以支撑新质新增生产力的发展趋势。第三方测试实验室应具备智慧驱动能力,能够帮助客户解决问题,降低成本,提高效率。此外,第三方测试机构还需要具备前瞻性,能够提前预测行业发展趋势,为客户提供更有价值的服务。同时,还需要加强行业标准的制定和执行,提高整个行业的水平。在这个过程中,第三方测试机构将成为半导体行业发展的重要助力。演讲嘉宾:日立科学仪器(北京)有限公司 副董事长 佐藤贤一演讲题目:Introduction of Advanced semiconductor failure and process analysis随着半导体行业的不断发展,对故障分析和工艺控制的需求越来越高。佐藤贤一表示,为了满足客户的需求,日立科学仪器公司提供了多种先进的半导体分析设备,如OCD量测、SEM、FIB-SEM、TEM等,这些设备可以帮助客户更准确地找到故障点,提高产品质量。他认为,第三方检测机构需要不断研发新技术,提高测试精度和效率,以满足半导体行业的发展需求。关于与客户的合作模式,佐藤贤一认为,第三方检测机构应与客户建立紧密的合作关系,共同开发新技术,提高生产效率,降低成本。此外,佐藤贤一还提到了半导体行业的未来发展趋势,包括人工智能、物联网等领域的应用。并表示,日立愿意与第三方检测机构加强合作,紧跟行业发展趋势,不断提升自身能力,以共同应对未来的挑战。演讲嘉宾:新加坡工程院士、新加坡科学院士、SUTD professor YEO KIAT SENG演讲题目:Talent-The Challenge to Establish a Globally Competitive Semiconductor Industry报告主要讨论了在建立全球竞争力的半导体产业过程中,人才所面临的挑战和机遇。YEO KIAT SENG指出,半导体市场预计将以8.8%的年复合增长率增长,到2032年市场规模将超过1.3万亿美元。而智能、集成和创新将是推动半导体行业发展的关键因素。建立全球竞争力的半导体产业离不开人才的培养和发展。此外,YEO KIAT SENG强调了多学科教育的重要性,面对复杂的问题,单一学科的教育模式已经不再适用。提倡跨学科的教育模式,让学生在学习过程中接触不同领域的知识,培养创新能力和创造力。最后,YEO KIAT SENG谈到了未来工作的变化。并认为,自动化和智能机器人将取代许多传统工作,而信息和数据将成为新的货币。个人需要不断提升自己的技能,以适应未来的挑战。演讲嘉宾:青岛四方思锐智能技术有限公司 副总经理 谢均宇演讲题目:集成电路装备研发与第三方测试协同发展谢均宇表示,集成电路装备研发与第三方测试之间存在密切的协同关系。在研发过程中,测试可以帮助企业更好地了解设备的性能、结构和成分,从而提高设备的稳定性和可靠性。同时,测试还可以为企业提供有关工艺改进和创新的重要依据。通过深度合作和资源共享,双方可以实现优势互补,共同推动技术创新和产业升级。此外,谢均宇提到了公司在集成电路装备研发方面的一些突破,如公司已成功交付国内第一台高能离子注入机,并已实现批量销售。也分享了ALD设备应用、IMP工艺材料的表征需求等。演讲嘉宾:蔡司 XRM亚太应用技术专家 曹春杰演讲题目:三维无损分析在半导体领域的最新应用进展曹春杰首先介绍了三维无损分析技术在半导体领域、尤其是在结构和拓展方面的重要性。 接着,详细阐述了三维无损分析技术的原理、该技术在消费电子、封装测试等领域的应用,以及该技术的优势和特点。案例分享环节,曹春杰展示了三维无损分析技术在半导体领域的实际应用效果。提到了该技术在分析电子管道、IC结构等方面的应用,以及在故障分析和可靠性分析中的作用。最后,曹春杰介绍了蔡司公司的最新技术和产品,如630 Versa系列,以及AI技术在三维无损分析中的应用等。这些新技术也将进一步提高三维无损分析技术的性能和效率。演讲嘉宾:赛默飞世尔公司 高级业务拓展经理 曹潇潇演讲题目:标准化-加速半导体三方检测市场发展的新引擎曹潇潇在报告中强调了标准化在半导体产业中的重要性。认为标准化可以帮助企业在高速发展阶段建立共同的起跑线,提高研发效率和创新能力。此外,标准化还可以提升整个产业链的培训资源和降低错误率,确保产业链上下游站在同一水平上进行对话。接着分享了关于标准化在半导体时效分析中的具体应用。曹潇潇提到,赛默飞世尔公司在时效失效分析领域占据90%的市场份额,并提供了一套系统化的整体解决方案,希望将标准化工作进一步拓展到前端市场关系的过程中。同时也通过一些具体案例展示了标准化工作的实现。例如,在失效分析过程中,通过开发系列功能,可以实现跨平台之间的样品定位和数据的综合管理。此外,还可以实现工厂管理系统的无缝对接,提高自动化水平等。演讲嘉宾:胜科纳米(苏州)股份有限公司 前沿技术总监 乔明胜演讲题目:Labless助力半导体第三方分析检测服务机构评价体系的构建乔明胜首先介绍了半导体分析检测行业的概况,指出全球半导体第三方检测分析市场的年增长率超过10%,国内增长率更是接近20%。接着分析了国际国内实验室认证的现状。并强调,实验室认证本质上是管理体系的评价,而非技术水平的高低评价。乔明胜认为,Labless模式可以帮助企业在满足基本要求的同时,为客户提供更多的价值。并建议第三方检测机构应具备规模、技术先进性、设备能力和质量安全等方面的基本能力。他指出,目前半导体分析检测领域缺乏专门的标准体系,需要进一步完善。提出了构建半导体第三方分析检测服务机构评价体系的建议。而胜科纳米也正在完善自己的技术标准体系,以期为行业做出更多贡献。演讲嘉宾:天津三英精密仪器股份公司 董事长 须颖演讲题目:高分辨X射线三维成像技术与应用目前,通用显微成像技术如光学显微镜、扫描电镜等在分辨率上已达到一定水平,但在观察内部结构方面仍有局限。而X射线三维成像技术可以在不破坏样品的情况下,实现对样品内部结构的三维立体成像。须颖详细介绍了高分辨X射线三维成像技术的原理和特点,以及天津三英在该技术方面的工作成果。公司坚持高层面技术路线,使得公司在高分辨X射线三维成像领域具有竞争优势。须颖认为,随着工业应用的深入,客户对缺陷检测、内部结构测量等方面的需求越来越高,高分辨X射线三维成像技术在这些领域具有广泛的应用前景。天津三英也将不断完善产品线,开发针对不同类型样品的专用设备,以满足客户的需求。也坚信高分辨X射线三维成像技术将为工业检测、设计等领域带来更多的便利和价值。演讲嘉宾:IBM 科技事业部存储产品总监 周立暘演讲题目:IBM 存储,助力企业实现降本、增效、安全的数字化周立暘首先提到了数字化转型和国家半导体行业的发展,强调了数据作为新的生产要素在企业中的重要性。他表示,IBM存储产品可以帮助企业更好地管理和利用数据,实现降本增效。IBM的核心技术已经在国内半导体制造企业的MS系统中得到广泛应用,帮助企业更好地利用资产投入产生效益。在数据安全方面,介绍了IBM的High-Availability Data Replication技术,可以在短时间内恢复被勒索软件攻击篡改的数据。最后,周立暘表示,IBM希望通过存储技术和资源调度技术的结合,帮助企业在全球化国际化的环境中实现数据的优化管理和应用加速,从而助力企业实现更高的发展。演讲嘉宾:麦格昆磁 副总裁 Klaus Dittmer演讲题目:Advanced magnetic powder development and collaboration with third party analytical service providersKlaus Dittmer首先介绍了麦格昆磁公司,包括在稀土和其他关键金属领域的业务等。接着讨论了磁性粉末在永磁材料领域的重要性,并强调了磁性粉末微观结构表征的重要意义。关于如何通过精确的测量和控制来实现所需的磁性能,Klaus Dittmer介绍了扫描电镜、透射电镜、X射线衍射等几种用于表征磁性粉末微观结构的技术。在谈到与第三方分析服务提供商的合作时,Klaus Dittmer强调了成本、时间、质量和保密性等因素在选择合作伙伴时的重要性。他认为,与第三方服务提供商合作可以为公司提供更高效、高质量的分析和表征服务,同时降低成本和提高保密性。最后,Klaus Dittmer总结了麦格昆磁在磁性粉末开发与第三方分析服务合作方面的经验,强调了这种合作为公司带来的价值。演讲嘉宾:滨松光子学商贸(中国)有限公司 半导体领域负责人 王宁波演讲题目:半导体电性失效分析介绍失效分析有助于改进设计和工艺,提升产品性能。王宁波分享了失效分析的技术原理、常用的定位方法,以及在半导体制造和使用过程中的应用,如改善工艺、完善品质和提升芯片能力。最后,王宁波介绍了滨松光子公司在半导体电性失效分析领域的技术发展。包括公司在光电探测方面的专长、公司在半导体检测领域的一些新技术,如磁光电流成像、高分辨热成像和全自动探测系统等。这些技术有助于提高失效分析的精度和效率,满足半导体制造和设计工业的发展需求。圆桌会议为了进一步交流探讨,以“半导体第三方分析检测服务机构评价要素”为议题的圆桌会议压轴亮相。圆桌嘉宾从实验室基本能力、实验室服务效果以及实验室可持续发展三个维度展开深入探讨。在实验室基本能力方面,嘉宾们细致讨论了实验室建设的规模与布局、硬件设施的先进性与完备性,以及管理体系的健全程度。这些因素被普遍认为是实验室提供高质量服务的基础。实验室服务效果的议题中,服务流程的专业性、检测结果的准确性、响应时间的迅速性以及客户服务的周到性等关键指标备受瞩目。此外,信息安全也被特别提及,作为评价服务效果时不可忽视的一个维度。在实验室可持续发展方面,技术创新能力被视为推动实验室长期发展的核心动力。同时,人才培养、市场拓展策略以及行业合作与交流也被认为是实验室持续发展的重要支撑。圆桌讨论内容覆盖了半导体第三方分析检测服务机构评价的多个关键要素,旨在推动行业向更专业、更高效、更可持续的方向发展。这不仅是对当前行业现状的一次全面审视,更是对未来发展方向的一次前瞻性思考。同期展会掠影
  • 动态表面张力在半导体行业的应用
    5G、人工智能、智慧交通等消费电子、汽车电子、计算机等应用领域的发展,对芯片的性能提出更高的要求,加快了芯片制程升级,从而带动了半导体行业的发展。半导体晶圆制造工艺包括清洗、曝光、显影、刻蚀、CMP(化学机械抛光)、切片等环节,需要用到各种特殊的液体,如显影液,清洗液,抛光液等等,这些液体中表面活性剂的浓度对工艺质量效果产生深刻的影响。动态表面张力在半导体晶圆清洗工艺的应用半导体晶圆清洗工艺要求芯片制造技术的进步驱动半导体清洗技术快速发展。在单晶硅片制造中,光刻,刻蚀,沉积等工艺后均设置了清洗工艺,清洗工艺在芯片制造进程中占比最大,随着芯片技术节点不断提升,对晶圆表面污染物的控制要求也越来越高。为了满足这些高的清洁度要求,在其中部分需要化学清洗的工序,清洗剂的浓度一定要保持在适当的浓度范围之内,成功的清洗工艺有两个条件:1. 为了达成所需的清洁效果,清洗剂的浓度需要在规定范围内。2. 在最后的漂洗过程后,须避免表面活性剂在硅晶圆上残留,残留的表面活性剂对后面的处理工艺会造成不利影响。清洗工艺的好坏直接影响下一道工序,甚至影响器件的成品率和可靠性,然而在清洗工艺过程中,工人往往疏于监控清洗和漂洗工序中表面活性剂的浓度,表面活性剂经常过量,而为了消除表面活性剂过量带来的不利影响,又往往要费时费力地增加漂洗工序阶段的成本。德国析塔SITA动态表面张力仪监控晶圆清洗工艺中清洗剂的添加德国析塔SITA动态表面张力仪通过动态表面张力的测试,建立清洗槽液的表面张力值与表面活性剂浓度关系曲线,进而实现通过监控晶圆清洗工艺中表清洗剂表面张力的变化来调整清洗剂的添加量,从而优化晶圆清洗工艺。动态表面张力在半导体晶圆切片工艺的应用半导体晶圆切片和CMP工艺要求晶圆切片工艺是在“后端”装配工艺中的第一步。该工艺将晶圆分成单个的芯片,用于随后的芯片接合(die bonding)、引线接合(wire bonding)和测试工序。在芯片的分割期间,金刚石刀片碾碎基础材料(晶圆),同时去掉所产生的碎片。在切割晶圆时某一种特殊的处理液会用于冷却工作时的刀片,这种处理液中会加入某种表面活性剂,以此来润滑刀片并移除切割过程中产生的碎片,改善切割品质、延长刀片寿命。在半导体晶圆CMP工艺中,利用机械力作用于晶圆片表面,同时研磨液中的化学物质与晶圆片表面材料发生化学反应来增加其研磨速率。抛光液是 CMP 技术中的决定性因素之一,其性能直接影响被加工工件表面的质量以及抛光加工的效率。在CMP抛光液中,一般使用水基抛光液作为加工介质,以去离子水作为溶剂,加入磨料(如 SiO2、ZrO2 纳米粒子等)、分散剂、pH 调节剂以及氧化剂等组分,每个组分都具有相应的功能,对化学机械抛光过程起到不同的作用。磨料通过抛光液输送到抛光垫表面后,在抛光垫和被加工表面之间同时受到压力作用以及相对运动的带动,通过对被加工表面形成极细微的切削、划擦以及滚压作用,对表面材料进行微量去除。磨料的形状、硬度、颗粒大小对化学机械抛光都具有重要的影响。分散剂是一种兼具亲水性与亲油性的界面活性剂,能够均匀分散一些不溶于液体的固体颗粒,对于抛光液而言,分散剂能够减少抛光液中磨料颗粒的团聚,提高抛光液中磨料的分散稳定性。德国析塔SITA动态表面张力仪监控晶圆切片和CMP工艺种特殊处理液和抛光液的添加目前在晶圆切片和CMP工艺中,监测切片过程中的特殊处理液和研磨液表面活性剂浓度往往容易出现问题,如果将样品送到第三方实验室进行检测,成本高,且有一定时差,无法快速矫正表面活性剂浓度。德国析塔SITA动态表面张力仪,可以建立液体表面张力值与表面活性剂浓度关系曲线。在几分钟内完成特殊处理液和研磨液动态表面张力的测量,进而可以量化数据呈现液体表面活性剂浓度,帮助工人迅速将实际值与期望值作比较,及时调整表面活性剂浓度。动态表面张力在半导体晶圆光刻工艺的应用半导体晶圆在光刻工艺中使用显影剂溶解光刻胶,将光刻胶上的图形精确复制到晶圆片上。四甲基氢氧化铵(TMAH)溶液是常用的显影剂,人们往往在四甲基氢氧化铵(TMAH)溶液中添加表面活性剂,以降低表面张力,改善光刻工艺中光刻胶的粘附性,改善光刻显影液对硅片涂胶面的润湿,使溶液更易亲和晶圆表面,确保一个稳定且不与表面几何形状相关的蚀刻过程。德国析塔SITA动态表面张力仪监控TMAH溶液表面活性剂浓度德国析塔SITA动态表面张力仪,可以建立TMAH溶液表面张力值与表面活性剂浓度关系曲线。通过快速连续监控TMAH溶液表面张力,并在设定的范围内自动比较数据,使用工人可以在表面活性剂浓度超出限定值后,短时间迅速反应采取相关措施。同时析塔SITA动态表面张力仪可对MAH溶液的润湿性能进行简便快捷的分析。操作简单、无需任何专业经验。动态表面张力在半导体晶圆蚀刻工艺中的应用在太阳能电池生产过程中,需要对晶圆进行蚀刻工艺,将显影后的简要蚀刻区域的保护膜去除,在蚀刻时接触化学溶液,达到溶解腐蚀的作用,形成凹凸或者镂空成型的效果,使用工人往往在蚀刻液中添加异丙醇IPA,以降低蚀刻液表面张力。晶圆蚀刻工艺中容易存在的问题是:蚀刻过程的对流会引起异丙醇的快速蒸发,蚀刻液表面张力增加,蚀刻工艺质量下降。因此需要将蚀刻液中异丙醇浓度控制在规定范围内。德国析塔SITA动态表面张力仪监控蚀刻液中异丙醇浓度德国析塔SITA动态表面张力仪可以精确快速测量蚀刻液动态表面张力,使用工人可以将测量值与实际所需值进行对比,得出异丙醇浓度是否在规定范围内,如超出限定值后,则可以在短时间内快速采取相应措施,达到高质量的蚀刻工艺和避免异丙醇过量,节省成本。 析塔SITA动态表面张力仪在半导体行业的客户案例德国析塔SITA动态表面张力仪介绍德国析塔SITA动态表面张力仪采用气泡压力法测量液体动态及静态表面张力,通过智能控制气泡寿命,测出液体中表面活性剂分子迁移到界面过程中表面张力的变化过程,即连续的一系列动态表面张力值以及静态表面张力值。德国析塔SITA动态表面张力仪,共有4种型号。附录(英文原文)●Monitoring of wetting characteristics of etchants and developers●Monitoring the surfactant concentration of TMAH-solutions●Monitoring the surfactant concentration in wafer cleaning processes翁开尔是德国析塔SITA中国独家代理,如需了解各种关于析塔表面张力仪信息以及应用,欢迎致电【400-6808-138】咨询。
  • 9766万!厦门大学8月仪器采购意向公布:聚焦半导体领域
    7月16日,厦门大学公示了其8月份的仪器设备采购意向,总预算金额9766万元人民币,主要聚焦于半导体领域,涵盖了化学气相沉积系统、封装设备、原子层沉积系统及刻蚀机等关键设备的采购意向。序号采购项目名称采购品目采购需求概况预算金额(万元)预计采购日期1等离子体增强化学气相沉积系统 A02062002电气物理设备等离子体增强化学气相沉积系统主要用于半导体器件制备过程中,高质量氧化硅、氮化硅薄膜的沉积。计划采购1台等离子体增强化学气相沉积系统,该设备可用于开展相对应半导体工艺原理与实操的教学工作,提高学生对相关工艺知识的认知和设备的操作能力,可满足半导体、新材料等专业的研究人员使用,符合相应学科发展需要。165.002024年08月2近 眼显示 测量系统 A02100803光电测量仪器采购1套,用于近眼显示器件的性能测量,必须能够满足出瞳距离、Eye-box、FOV、图像光色特性等近眼显示光学性能的全参数测量。必须为具有自主知识产权的国产设备。供方必须具备完善的售后服务体系。140.002024年08月3低压 力晶圆键合 机 A02062002电气物理设备该设备主要用于晶圆级键合工艺,包括聚合物胶键合、共晶键合、金属键合以及氧化物键合等。同时兼容4英寸、6英寸和8英寸的多尺寸晶圆键合工艺。现拟购置1台低压力的晶圆键合机,此设备购买后可开展相应的教学工作及半导体工艺开发,满足化合物半导体器件应用领域的相关研究人员使用需求。155.002024年08月4磁场辅助大尺度外延生长超导磁体 A02059900其他机械设备磁场可影响生长过程中的粒子运动轨迹,进而实现对生长过程的精细调控。同时,还改变材料的能级结构、自旋状态以及载流子的运动规律,使材料在磁场尤其是强磁场环境下形成较为一致的晶畴或磁畴结构,从而获得高结晶质量或磁特性。计划搭建1套磁场辅助大尺度外延生长超导磁体。此设备可开设相应半导体物理自旋电子学等原理与操作的教学工作,同时可以提升物理、信息、材料、电子等专业研究人员的实验条件和研究水平,还能推动新技术、新应用的探索与发展,为跨学科研究提供强有力的实验支撑和平台,助力多学科交叉创新。400.002024年08月5化合物半导体原位生长及光谱光电多功能表征测试系统 A02052401真空获得设备化合物半导体原位生长及光谱光电多功能表征测试系统主要用于宽禁带半导体氮化物和氧化物等材料超高真空外延生长和性能表征,搭配多样化的原位监测方式,可原位监控外延组分变化和结构完整性。计划搭建1套化合物半导体原位生长及光谱光电多功能表征测试系统,此设备可开设相应半导体物理、半导体材料外延等原理与操作的教学工作,同时可以提升材料、信息、能源等专业研究人员的实验条件和研究水平,为提高我校在物理和材料领域的影响力和地位提供支撑。1095.002024年08月6高精度三维封装倒装焊机 A02050909金属焊接设备高精度三维封装倒装焊机的可用于三维集成、传感器 、MicroLED 等封装工艺中的精准倒装焊接,适用于复杂电子元件的倒装对准焊接需求。计划购置1套高精度三维封装倒装焊机,此设备购买后可开设相应的电子封装倒装技术原理与操作的教学工作,实现微电子、光电子、射频器件、传感器等各个专业的研究人员使用,符合学科发展需要,也可提高学生对微电子先进封装相关知识的认识和培养学生的操作技术,有利于培养学生的多方面能力。510.002024年08月7全自动热原子层沉积系统 A02052402真空应用设备全自动热原子层沉积系统主要用于8吋衬底上进行高精度介质膜如Al2O3、HfO2等的薄膜沉积,可实现高深宽比孔/槽的介质膜沉积,薄膜沉积精度可达原子级别。购置1台全自动热原子层沉积系统。通过精确的沉积控制和自动化功能,能够满足半导体、光电子和能源存储等领域科研人员对高精度介质薄膜沉积的需求,符合学科发展需要。900.002024年08月8非接触式薄层电阻测试仪 A02062002电气物理设备非接触方块电阻测量仪属于非破环性测量手段,可以对半导体外延片的方块电阻进行快速测量,对材料生长制备和产线制程提供直接的反馈和监控。计划购置1台非接触方块电阻测量,该设备此设备购买后可实现6-8英寸MOCVD氮化物材料样品的方阻测试,也可以用作其他半导体材料的测量,满足相关用户的测量需求。100.002024年08月9辉光放电光谱仪 A02062002电气物理设备射频辉光放电光谱仪用于测量氮化物半导体外延片中的元素深度分布,可以提供快速的材料组分和掺杂信息,该设备的元素测量极限可以达到1019/cm3量级,也可以提供纳米级别的深度分辨。购置1台射频辉光放电光谱仪,此设备购买后可开展相应的教学工作及半导体材料研发,满足化合物半导体材料、器件应用领域的相关研究人员使用和培训需求。250.002024年08月10多腔化合物半导体刻蚀机 A02062002电气物理设备多腔化合物半导体刻蚀机主要用于化合物半导体材料的刻蚀,不同腔室用于刻蚀不同化合物半导体材料,可最大限度保证工艺稳定性。购买1台多腔化合物半导体刻蚀机。该设备购买后可用于开展半导体器件制备相关的教学及科研工作,提高学生对刻蚀工艺知识的认知和设备的操作能力,可服务于化合物半导体先进制程的开发,符合相应学科教学及科研工作的发展需要。700.002024年08月11ITO PVD(6/8兼容) A02062002电气物理设备采购的ITO PVD设备是半导体制造领域常用的薄膜沉积方法。可用于高真空下磁控溅射ITO薄膜,该薄膜具有高质量低损伤特性。计划采购1台ITO PVD,此设备购买后可开设相应的测控溅射原理与操作教学工作,实现Micro LED领域、能源化工、新材料、能源科学、化合物半导体等各专业的研究人员使用,符合学科发展需求,有利于培养学生的多方面能力。400.002024年08月12全自动功率器件封装系统 A02062002电气物理设备全自动功率器件封装系统主要用于功率器件的封装,实现固晶、夹焊和回流焊工艺。采购1台全自动功率器件封装系统,该设备购置后可开设先进封装相关教学工作,实现电子科学、新材料、能源等各个专业的研究人员使用,符合学科发展需要,有利于培养学生多方面能力。440.002024年08月13OPtimax反应器 A02100609实验室高压釜OPtimax反应器是光刻胶合成的重要支撑设备,高端光刻胶的配制需苛刻的反应环境,除了洁净等级和黄光,还需要严格的温控和气氛保护以保证最终产品的性能,尤其是精准温控条件的设备,因此需采购1台能够匹配高端光刻胶配制的反应器。OPtimax反应器的恒温低偏差功能可实现配胶过程的精准温控并提供稳定的反应气氛,可以对光刻胶合成提供稳定合成环境。计划购置1台OPtimax反应器用以进行光刻胶配制。120.002024年08月14非标提纯设备 A02100609实验室高压釜光刻胶配制过程需要使用高纯原料,该设备是原料提纯的的重要支撑设备。设备为精馏塔,通过精馏对原料进行提纯,使配胶所需原料达到电子级纯度,从而保证高纯原料应用于光刻胶的配制。计划购置1台非标提纯设备用以进行原料提纯从从而保证光刻胶配制的开展。148.002024年08月1512英寸原子层沉积设备 A02052402真空应用设备12英寸原子层沉积设备主要用于大尺寸衬底上进行高精度金属如Cu、Ru等的薄膜沉积,可实现高深宽比孔/槽的金属薄膜沉积,薄膜沉积精度可达原子级别。计划购置1台12英寸原子层沉积设备。此设备购买后能够在大尺寸衬底上实现高精度、高效率的金属薄膜沉积,同时可开设相应的原子层沉积技术原理与操作的教学工作,符合电子学院整体与学科发展需要,有利于培养学生多方面能力。530.002024年08月16激光诱导蚀刻玻璃成形机 A02180200玻璃及玻璃制品制造设备激光诱导蚀刻玻璃成形机是采用超快激光对玻璃进行定向改质,再经后续化学蚀刻将玻璃的改质通道进行放大形成通孔。可以实现高效率、高精度、高品质玻璃通孔(TGV)制备,TGV广泛应用于医疗器械、射频模块、光电显示等器件制备。拟采购1台激光诱导蚀刻玻璃成形机,购买此设备后可开展TGV制备的相关课程,同时也开放共享给校内外相关领域的科研工作提供技术服务。280.002024年08月17多层线路电子3D打印设备 A02050904增材制造设备电子3D打印设备是一种先进的增材制造设备,主要应用于显示、半导体、新能源锂电等行业,可打印具有电子功能的微纳米级特征结构,适用于微型电路、柔性电路、天线传感器、三维电子等高精度电子组件的加工制造,被视为增材制造领域的下一个前沿。计划购置1台电子3D打印设备,此设备购买后可提升在微纳制造、3D打印等领域的研究能力,用于对微波天线、多层电路、柔性可拉伸电路、MEMS传感器等领域开展研究工作,同时为学生提供接触和使用先进制造技术的机会,丰富教学内容,提升学生的实践能力和创新能力,实现新能源科学、材料科学、微电子等各个专业的研究人员使用,符合学科发展需要。电子3D打印设备需具有高精度的打印能力(最小特征尺寸 1um)、完善的配套微纳墨水体系、友好的人机操作界面以及防呆、安全报警功能。设备供应商需提供稳定可靠的售后服务(1年质保)、设备安装调试及培训服务以及合同签订后设备交付周期需<4个月。229.002024年08月18高速显微高光谱系统 A02100304光学测试仪器高速显微高光谱系统主要用于获取样品在不同光谱下的高分辨成像和高速时间响应数据,可实现对样品进行精确的光谱、成像和时间等多维信息采集分析。特别适用于微型发光器件(如microLED,OLED)、光电子材料、薄膜光学器件、量子点、纳米光子学等领域的研究。计划购置一台高速显微高光谱系统,此设备购买后可开设相应的高光谱成像原理与操作的教学工作,实现电子科学与技术、光电工程、微电子学、材料科学与工程等各个专业的研究人员使用,符合学科发展需要,也可提高学生对高光谱成像相关知识的认识和培养学生的操作技术,有利于培养学生的多方面能力。141.002024年08月19微波等离子体化学气相沉积系统 A02052402真空应用设备微波等离子体化学气相沉积系统主要用于晶圆级金刚石的生长、多元素掺杂生长及表面活化,解决芯片散热问题。厦门大学计划购置1台微波等离子体化学气相沉积系统,该设备购买后可开设相应的教学工作,实现电子科学、新材料、能源等各个专业的研究人员使用,符合学科发展需要,也可提高学生对微波等离子体相关知识的认识和培养学生的沉积设备操作技术,加强相关人才培养实力。450.002024年08月20常温晶 圆键合 机 A02052402真空应用设备常温晶圆键合机用于进行芯片三维堆叠、MEMS等的常温下晶圆键合,通过离子束轰击后的材料表面活性极高,在超高真空环境下已成功应用于多种半导体材料、金属之间的室温键合,减小键合热应力提高良率及可靠性,解决常规晶圆键合异质集成存在的显著应力及翘曲瓶颈问题。计划购置1套常温晶圆键合机,此设备购买后可开设相应的常温晶圆键合原理与操作的教学工作,实现电子科学与技术、光电工程、微电子学、材料科学与工程等各个专业的研究人员使用,符合学科发展需要,也可提高学生对三维异质集成相关知识的认识和培养学生的操作技术,有利于培养学生的多方面能力。700.002024年08月21晶圆对准机 A02062002电气物理设备晶圆对准机是实现系统微型化和系统更高集成度的关键工艺设备,主要用于实现 2 片分离晶圆的精确对准、堆叠。应用于微电子半导体器件、MEMS 压力传感器、光电器件等晶圆对准。拟采购1台晶圆对准机,实现2个基片或者3个几片的预对准,同时提高键合对准精度,扩展现有设备键合机应用范围。130.002024年08月22半导体分析仪 A02110204半导体器件参数测量仪半导体分析仪主要用于半导体器件电学特性测试,例如功率 MOSFET、二极管、IGBT 等等。在高低压偏置下进行全自动电容测试,以及准确到皮安级以下的电流测量。购置1台半导体分析仪,此设备购买后可开设相应的半导体器件测试原理与操作的教学工作,实现半导体材料与器件等各个专业的研究人员使用。符合学科发展需要,也可提高学生对半导体材料与器件相关知识的认识和培养学生的半导体器件测试技术,有利于培养学生的多方面能力。132.002024年08月23新工科大楼1楼洁净室系统 B01021300科研用房施工新工科大楼1楼洁净室系统,主要为MOCVD提供可靠的电力供应、洁净稳定的环境、安全的尾气处理,是MOCVD正常平稳安全运行必不可少的条件支撑。包括:洁净空间单元;暖通单元;电力电气单元;给排水单元;消防控制单元等。720.002024年08月24新工科 大楼特气供应 系统 B01021300科研用房施工新工科大楼特气供应系统,主要为MOCVD安全提供氢气、氨气、硅烷混氢、氯气等制程生产或辅助用气,是MOCVD安全稳定生产外延片不可或缺的原料供应保障。包括氮气供应系统、氢气供应系统、氨气供应系统、硅烷混氢供应系统、氯气供应系统等、以及辅助系统、配电系统、特种气体泄漏检测系统、气体设备监视控制系统等安全辅助系统。429.002024年08月25文宣楼 1楼洁净实验室改造工程 B01021300科研用房施工文宣楼1楼洁净实验室改造工程含千级洁净室和干房,工程内容包括装修工程、通风空调工程、强电工程、弱电工程、给排水工程、气体工程、消防系统、修缮工程等。502.002024年08月相关资讯:1.9 亿元!中国科学技术大学公示 7 月 -12 月仪器采购意向 超亿元!华中农业大学农业智能装备创新支撑中心项目仪器采购清单公布近亿元大规模设备更新需求:中国海洋大学公布 9 月设备更新仪器采购意向
  • 第三代半导体材料与器件相关标准盘点
    第三代半导体材料主要是以碳化硅(SiC)、氮化镓(GaN)、氧化锌(ZnO)、金刚石、氮化铝(AlN)等为代表的宽禁带半导体材料。与第一、二代半导体材料相比,第三代半导体材料具有更宽的禁带宽度、更高的击穿电场、更高的热导率、更高的电子饱和速率及更高的抗辐射能力,更适合于制作高温、高频、抗辐射及大功率器件,通常又被称为宽禁带半导体材料(禁带宽度大于2.3eV),亦被称为高温半导体材料。从目前第三代半导体材料及器件的研究来看,较为成熟的第三代半导体材料是碳化硅和氮化镓,而氧化锌、金刚石、氮化铝等第三代半导体材料的研究尚属起步阶段。碳化硅(SiC)和氮化镓(GaN),被行业称为第三代半导体材料的双雄。基于第三代半导体的优良特性,其在通信、汽车、高铁、卫星通信、航空航天等应用场景中颇具优势。其中,碳化硅、氮化镓的研究和发展较为成熟。以SiC为核心的功率半导体,是新能源汽车充电桩、轨道交通系统等公共交通领域的基础性控件;射频半导体以GaN为原材料,是支撑5G基站建设的核心;第三代半导体在消费电子、工业新能源以及人工智能为代表的未来新领域,发挥着重要的基础作用。近年来,随着新能源汽车的兴起,碳化硅IGBT器件逐渐被应用于超级快充,展现出了强大的市场潜力,第三代半导体发展进入快车道。随着第三代半导体,特别是氮化镓和碳化硅的市场爆发,相关标准也逐渐出台。无规矩不成方圆,只有有了规矩,有了标准,这个世界才变得稳定有序!标准是科学、技术和实践经验的总结。为在一定的范围内获得最佳秩序,对实际的或潜在的问题制定共同的和重复使用的规则的活动,即制定、发布及实施标准的过程,称为标准化。为规范第三代半导体材料的发展,相关组织和机构也出台了一系列的标准。(以下第三代半导体标准只统计其作为宽禁带半导体材料的现行相关标准)碳化硅(SiC)碳化硅(SiC)材料是功率半导体行业主要进步发展方向,用于制作功率器件,可显着提高电能利用率。可预见的未来内,新能源汽车是碳化硅功率器件的主要应用场景。特斯拉作为技术先驱,已率先在Model 3中集成全碳化硅模块,其他一线车企亦皆计划扩大碳化硅的应用。随着碳化硅器件制造成本的日渐降低、工艺技术的逐步成熟,碳化硅功率器件行业未来可期。相关标准如下,标准号标准名称CASA 001-2018碳化硅肖特基势垒二极管通用技术规范CASA 003-2018p-IGBT器件用4H-SiC外延晶片CASA 004.1-20184H-SiC衬底及外延层缺陷 术语CASA 004.2-20184H-SiC衬底及外延层缺陷 图谱CASA 006-2020碳化硅金属氧化物半导体场效应晶体管通用技术规范CASA 007-2020电动汽车用碳化硅(SiC)场效应晶体管(MOSFET)模块评测规范CASA 009-2019半绝缘SiC材料中痕量杂质浓度及分布的二次离子质谱检测方法T/IAWBS 013-2019半绝缘碳化硅单晶片电阻率非接触测量方法T/IAWBS 012-2019碳化硅单晶抛光片表面质量和微管密度测试方法-共焦点微分干涉光学法T/IAWBS 011-2019导电碳化硅单晶片电阻率测量方法-非接触涡流法T/IAWBS 010-2019碳化硅单晶抛光片表面质量和微管密度检测方法-激光散射检测法T/IAWBS 008-2019SiC晶片的残余应力检测方法T/IAWBS 007-20184H碳化硅同质外延层厚度的红外反射测量方法T/IAWBS 006-2018碳化硅混合模块测试方法T/IAWBS 005-20186英寸碳化硅单晶抛光片T/IAWBS 003-2017碳化硅外延层载流子浓度测定汞探针电容-电压法T/IAWBS 002-2017碳化硅外延片表面缺陷测试方法T/IAWBS 001-2017碳化硅单晶DB13/T 5118-2019 4H碳化硅N型同质外 延片通用技术要求DB61/T 1250-2019 SiC(碳化硅)材料半导体分立器件通用规范GB/T 32278-2015 碳化硅单晶片平整度测试方法GB/T 30867-2014 碳化硅单晶片厚度和总厚度变化测试方法GB/T 30868-2014 碳化硅单晶片微管密度的测定 化学腐蚀法SJ/T 11501-2015 碳化硅单晶晶型的测试方法SJ/T 11503-2015 碳化硅单晶抛光片表面粗糙度的测试方法SJ/T 11504-2015 碳化硅单晶抛光片表面质量的测试方法SJ/T 11502-2015 碳化硅单晶抛光片规范SJ/T11499-2015 碳化硅单晶电学性能的测试方法SJ/T 11500-2015碳化硅单晶晶向的测试方法GB/T 31351-2014碳化硅单晶抛光片微管密度无损检测方法GB/T 30656-2014碳化硅单晶抛光片GB/T 30866-2014碳化硅单晶片直径测试方法氮化镓(SiC)氮化镓,是氮和镓的化合物,是一种直接能隙的半导体,自1990年起常用在发光二极管中。此化合物结构类似纤锌矿,硬度很高。氮化镓的能隙很宽,为3.4电子伏特,可以用在高功率、高速的光电元件中,例如氮化镓可以用在紫光的激光二极管,可以在不使用非线性半导体泵浦固体激光器的条件下,产生紫光(405nm)激光。GaN材料系列具有低的热产生率和高的击穿电场,是研制高温大功率电子器件和高频微波器件的重要材料。目前,随着 MBE技术在GaN材料应用中的进展和关键薄膜生长技术的突破,成功地生长出了GaN多种异质结构。用GaN材料制备出了金属场效应晶体管(MESFET)、异质结场效应晶体管(HFET)、调制掺杂场效应晶体管(MODFET)等新型器件。标准号标准名称CASA 010-2019GaN材料中痕量杂质浓度及分布的二次离子质谱检测方法T/IAWBS 013—2019半绝缘碳化硅单晶片电阻率非接触测量方法T/GDC 69—2020氮化镓充电器GB/T 39144-2020 氮化镓材料中镁含量的测定 二次离子质谱法GB/T 37466-2019氮化镓激光剥离设备GB/T 37053-2018 氮化镓外延片及衬底片通用规范GB/T 36705-2018 氮化镓衬底片载流子浓度的测试 拉曼光谱法GB/T 32282-2015 氮化镓单晶位错密度的测量 阴极荧光显微镜法GB/T 32189-2015 氮化镓单晶衬底表面粗糙度的原子力显微镜检验法GB/T 32188-2015 氮化镓单晶衬底片x射线双晶摇摆曲线半高宽测试方法GB/T 30854-2014 LED发光用氮化镓基外延片蓝宝石(Al2O3) 蓝宝石晶体属于人造宝石晶体,主要应用于制作LED灯的关键材料,也是应用于红外军事装置、卫星空间技术、高强度激光的重要窗口材料。蓝宝石晶体是一种氧化铝的单晶,又称为刚玉。蓝宝石已成为一种重要的半导体衬底材料。标准号标准名称SJ/T 11505-2015 蓝宝石单晶抛光片规范GB/T 35316-2017 蓝宝石晶体缺陷图谱GB/T 34612-2017 蓝宝石晶体X射线双晶衍射摇摆曲线测量方法GB/T 34504-2017 蓝宝石抛光衬底片表面残留金属元素测量方法GB/T 34213-2017 蓝宝石衬底用高纯氧化铝GB/T 34210-2017 蓝宝石单晶晶向测定方法GB/T 33763-2017 蓝宝石单晶位错密度测量方法SJ/T 11505-2015 蓝宝石单晶抛光片规范GB/T 31353-2014 蓝宝石衬底片弯曲度测试方法GB/T 31352-2014 蓝宝石衬底片翘曲度测试方法GB/T 31093-2014 蓝宝石晶锭应力测试方法GB/T 31092-2014 蓝宝石单晶晶锭GB/T 30858-2014 蓝宝石单晶衬底抛光片GB/T 30857-2014 蓝宝石衬底片厚度及厚度变化测试方法DB44/T 1328-2014 蓝宝石图形化衬底片测试技术规范GB/T 14015-1992 硅-蓝宝石外延片其他标准第三代半导体被广泛的应用于IGBT功率器件中和发光材料中,对此,我们盘点了宽禁带半导体、功率器件和光电子器件标准。标准号标准名称CASA 002-2021宽禁带半导体术语T/IAWBS 004-2017电动汽车用功率半导体模块可靠性试验通用要求及试验方法T/IAWBS 009-2019功率半导体器件稳态湿热高压偏置试验GB/T 29332-2012半导体器件 分立器件 第9部分:绝缘栅双极晶体管(IGBT)GB/T 36360-2018 半导体光电子器件 中功率发光二极管空白详细规范GB/T 36358-2018 半导体光电子器件 功率发光二极管空白详细规范GB/T 36357-2018 中功率半导体发光二极管芯片技术规范GB/T 36356-2018 功率半导体发光二极管芯片技术规范GB/T 36359-2018 半导体光电子器件 小功率发光二极管空白详细规范SJ/T 11398-2009 功率半导体发光二极管芯片技术规范SJ/T 11400-2009 半导体光电子器件 小功率半导体发光二极管空白详细规范SJ/T 11393-2009 半导体光电子器件 功率发光二极管空白详细规范现行SJ/T 1826-2016 半导体分立器件 3DK100型NPN硅小功率开关晶体管详细规范SJ/T 1834-2016 半导体分立器件 3DK104型NPN硅小功率开关晶体管详细规范SJ/T 1839-2016 半导体分立器件 3DK108型NPN硅小功率开关晶体管详细规范SJ/T 1833-2016 半导体分立器件 3DK103型NPN硅小功率开关晶体管详细规范SJ/T 1831-2016 半导体分立器件 3DK28型NPN硅小功率开关晶体管详细规范现行SJ/T 1830-2016 半导体分立器件 3DK101型NPN硅小功率开关晶体管详细规范SJ/T 1838-2016 半导体分立器件 3DK29型NPN硅小功率开关晶体管详细规范SJ/T 1832-2016 半导体分立器件 3DK102型NPN硅小功率开关晶体管详细规范IEC 60747半导体器件QC/T 1136-2020 电动汽车用绝缘栅双极晶体管(IGBT)模块环境试验要求及试验方法JB/T 8951.1-1999 绝缘栅双极型晶体管JB/T 8951.2-1999 绝缘栅双极型晶体管模块 臂和臂对需要注意的是,CASA和IAWBS属于团体标准、GB属于国家标准、DB是地方标准。仪器信息网为了更好地服务半导体行业用户,特邀请您参与问卷调研,麻烦大家动动小手完成问卷,参与即得10元话费!活动结束还将择优选择10名认真填写用户送出50元话费!!!http://a72wfu5hktu19jtx.mikecrm.com/zuXBhOy
  • 十五种分析仪器助力半导体工艺检测
    p style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 半导体器件生产中,从半导体单晶片到制成最终成品,须经历数十甚至上百道工序。为了确保产品性能合格、稳定可靠,并有高的成品率,根据各种产品的生产情况,对所有工艺步骤都要有严格的具体要求。因而,在生产过程中必须建立相应的系统和精确的监控措施,首先要从半导体工艺检测着手。 /span /p p style=" text-align: justify text-indent: 2em " 半导体工艺检测的项目繁多,内容广泛,方法多种多样,可粗分为两类。第一类是半导体晶片在经历每步工艺加工前后或加工过程中进行的检测,也就是半导体器件和集成电路的半成品或成品的检测。第二类是对半导体单晶片以外的原材料、辅助材料、生产环境、工艺设备、工具、掩模版和其他工艺条件所进行的检测。第一类工艺检测主要是对工艺过程中半导体体内、表面和附加其上的介质膜、金属膜、多晶硅等结构的特性进行物理、化学和电学等性质的测定。其中许多检测方法是半导体工艺所特有的。 /p p style=" text-align: justify text-indent: 2em " 工艺检测的目的不只是搜集数据,更重要的是要把不断产生的大量检测数据及时整理分析,不断揭示生产过程中存在的问题,向工艺控制反馈,使之不致偏离正常的控制条件。因而对大量检测数据的科学管理,保证其能够得到准确和及时的处理,是半导体工艺检测中的一项重要关键。同时半导体检测也涉及大量的科学仪器,针对于此,对一些半导体检测的仪器进行介绍。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/537.html" target=" _self" 椭偏仪 /a /h3 p style=" text-align: justify text-indent: 2em " 椭偏仪是一种用于探测薄膜厚度、光学常数以及材料微结构的光学测量仪器。由于测量精度高,适用于超薄膜,与样品非接触,对样品没有破坏且不需要真空,使得椭偏仪成为一种极具吸引力的测量仪器。 /p p style=" text-align: justify text-indent: 2em " 目前,椭偏仪是测量透明、半透明薄膜厚度的主流方法,它采用偏振光源发射激光,当光在样本中发生反射时,会产生椭圆的偏振。椭偏仪通过测量反射得到的椭圆偏振,并结合已知的输入值精确计算出薄膜的厚度,是一种非破坏性、非接触的光学薄膜厚度测试技术。在晶圆加工中的注入、刻蚀和平坦化等一些需要实时测试的加工步骤内,椭偏仪可以直接被集成到工艺设备上,以此确定工艺中膜厚的加工终点。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/1677.html" target=" _self" span style=" text-indent: 2em " 四探针测试仪 /span /a /h3 p style=" text-align: justify text-indent: 2em " 四探针测试仪是用来测量半导体材料(主要是硅单晶、锗单晶、硅片)电阻率,以及扩散层、外延层、ITO导电箔膜、导电橡胶方块电阻等的测量仪器。 /p p style=" text-align: justify text-indent: 2em " 测量半导体电阻率方法的测量方法主要根据掺杂水平的高低,半导体材料的电阻率可能很高。有多种因素会使测量这些材料的电阻率的任务复杂化,包括与材料实现良好接触的问题。特殊的探头设计用于测量半导体晶片和半导体棒的电阻率。这些探头通常由诸如钨的硬质金属制成,并接地到探头。在这种情况下,接触电阻很高,必须使用四点共线探针或四线绝缘探针。两个探针提供恒定电流,另外两个探针测量整个样品一部分的电压降。通过使用所测电阻的几何尺寸来计算电阻率。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 薄膜应力测试仪 /span br/ /h3 p style=" text-align: justify text-indent: 2em " 薄膜应力作为半导体制程、MEMS微纳加工、光电薄膜镀膜过程中性能测试的必检项,其测试的精度、重复性、效率等因素为业界所重点关注。对应产品目前业界有两种主流技术流派:1)以美国FSM、KLA、TOHO为代表的双激光波长扫描技术(线扫模式),尽管是上世纪90年代技术,但由于其简单高效,适合常规Fab制程中进行快速QC,至今仍广泛应用于相关工厂。2)以美国kSA为代表的MOS激光点阵技术,抗环境振动干扰,精于局部区域内应力测量,这在研究局部薄膜应力均匀分布具有特定意义。线扫模式主要测量晶圆薄膜整体平均应力,监控工序工艺的重复性有意义。但在监控或精细分析局部薄膜应力,激光点阵技术具有特殊优势,比如在MEMS压电薄膜的应力和缺陷监控。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 热波系统 /span br/ /h3 p style=" text-align: justify text-indent: 2em " 热播系统主要用来测量掺杂浓度。热波系统通过测量聚焦在硅片上同一点的两束激光在硅片表面反射率的变化量来计算杂质粒子的注入浓度。在该系统内,一束激光通过氩气激光器产生加热的波使硅片表面温度升高,热硅片会导致另一束氦氖激光的反射系数发生变化,这一变化量正比于硅片中由杂质粒子注入而产生的晶体缺陷点的数目。由此,测量杂质粒子浓度的热波信号探测器可以将晶格缺陷的数目与掺杂浓度等注入条件联系起来,描述离子注入工艺后薄膜内杂质的浓度数值。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " ECV设备 /span /h3 p style=" text-align: justify text-indent: 2em " ECV又名扩散浓度测试仪,结深测试仪等,即电化学CV法测扩散后的载流子浓度分布。电化学ECV可以用于太阳能电池、LED等产业,是化合物半导体材料研究或开发的主要工具之一。电化学ECV主要用于半导体材料的研究及开发,其原理是使用电化学电容-电压法来测量半导体材料的掺杂浓度分布。电化学ECV(CV-Profiler, C-V Profiler)也是分析或发展半导体光-电化学湿法蚀刻(PEC Etching)很好的选择。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 少子寿命测试仪 /span /h3 p style=" text-align: justify text-indent: 2em " 载流子寿命就是指非平衡载流子的寿命。而非平衡载流子一般也就是非平衡少数载流子(因为只有少数载流子才能注入到半导体内部、并积累起来,多数载流子即使注入进去后也就通过库仑作用而很快地消失了),所以非平衡载流子寿命也就是指非平衡少数载流子寿命,即少数载流子寿命。例如,对n型半导体,非平衡载流子寿命也就是指的是非平衡空穴的寿命。 /p p style=" text-align: justify text-indent: 2em " 少子寿命是半导体材料和器件的重要参数。它直接反映了材料的质量和器件特性。能够准确的得到这个参数,对于半导体器件制造具有重要意义。少子寿命测试仪可以直接获得长硅的质量参数。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/34.html" target=" _self" 拉曼光谱 /a /h3 p style=" text-align: justify text-indent: 2em " 拉曼光谱是一种散射光谱。拉曼光谱分析法是基于印度科学家C.V.Raman在1928年所发现的拉曼散射效应,对与入射光频率不同的散射光谱进行分析以得到分子振动、转动方面信息并应用于分子结构研究的一种分析方法。 /p p style=" text-align: justify text-indent: 2em " 拉曼光谱在材料科学中是物质结构研究的有力工具,在相组成界面、晶界等课题中可以做很多工作。半导体材料研究中,拉曼光谱可测出经离子注入后的半导体损伤分布,可测出半磁半导体的组分,外延层的质量,外延层混品的组分载流子浓度。 span style=" text-indent: 2em " & nbsp /span /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/31.html" target=" _self" 红外光谱仪 /a /h3 p style=" text-align: justify text-indent: 2em " 红外光谱仪是利用物质对不同波长的红外辐射的吸收特性,进行分子结构和化学组成分析的仪器。红外光谱仪通常由光源,单色器,探测器和计算机处理信息系统组成。根据分光装置的不同,分为色散型和干涉型。对色散型双光路光学零位平衡红外分光光度计而言,当样品吸收了一定频率的红外辐射后,分子的振动能级发生跃迁,透过的光束中相应频率的光被减弱,造成参比光路与样品光路相应辐射的强度差,从而得到所测样品的红外光谱。 /p p style=" text-align: justify text-indent: 2em " 红外光谱法操作简单,不破坏样品,使其在半导体分析的应用日趋广泛。半导体材料的红外光谱揭示了晶格吸收、杂质吸收和自由载流子吸收的情况,直接反映了半导体的许多性质,如确定红外透过率和结晶缺陷,监控外延工艺气体组分分布,测载流子浓度,测半导体薄层厚度和衬底表面质量。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 二次粒子质谱 /span /h3 p style=" text-align: justify text-indent: 2em " 二次粒子质谱是借助入射粒子的轰击功能,将样品表面原子溅出,由质谱仪测定二次粒子质量,根据质谱峰位的质量数,可以确定二次离子所属的元素和化合物,从而可精确测定表面元素的组成。这是一种常用的表面分析技术。其特点是高灵敏度和高分辨率。 /p p style=" text-align: justify text-indent: 2em " 利用二次离子质谱对掺杂元素的极高灵敏度的特点,对样品的注入条件进行分析,在生产中可以进行离子注入机台的校验,并确定新机台的可以投入生产。同时,二次离子质谱对于CVD沉积工艺的质量监控尤其是硼磷元素的分布和生长比率等方面有不可替代的作用。通过二次离子质谱结果的分析帮助CVD工程师进行生长条件的调节,确定最佳沉积工艺条件。对于杂质污染的分析,可以对样品表面结构和杂质掺杂情况进行详细了解,保证芯片的有源区的洁净生长,对器件的电性质量及可靠性起到至关重要的作用。对掺杂元素退火后的形貌分析研究发现通过改变掺杂元素的深度分布,来保证器件的电学性能达到设计要求。可以帮助LTD进行新工艺的研究对于90nm/65nm/45nm新产品开发起到很大作用。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " X射线光电子能谱仪 /span br/ /h3 p style=" text-align: justify text-indent: 2em " X射线光电子能谱仪以X射线为激发源。辐射固体表面或气体分子,将原子内壳层电子激发电离成光电子,通过分析样品发射出来的具有特征能量的光电子,进而分析样品的表面元素种类、化学状态和电荷分布等信息,是一种无损表面分析技术。 /p p style=" text-align: justify text-indent: 2em " 这种技术分析范围较宽,原则上可以分析除氢以外的所有元素,但分析深度较浅,大约在25~100 Å 范围,不过其绝对灵敏度高,测量精度可达10 nm左右,主要用于分析表面元素组成和化学状态,原子周围的电子密度,特别是原子价态及表面原子电子云和能级结构。 /p h3 style=" text-align: justify text-indent: 2em " X射线衍射 /h3 p style=" text-align: justify text-indent: 2em " 当一束单色X射线入射到晶体时,由于晶体是由原子规则排列成的晶胞组成,这些规则排列的原子间距离与入射X射线波长有X射线衍射分析相同数量级,故由不同原子散射的X射线相互干涉,在某些特殊方向上产生强X射线衍射,衍射线在空间分布的方位和强度,与晶体结构密切相关,每种晶体所产生的衍射花样都反映出该晶体内部的原子分配规律。这就是X射线衍射的基本原理。 /p p style=" text-align: justify text-indent: 2em " 半导体制造中的大部分材料是多晶材料,比如互连线和接触孔。XRD能够将多晶材料的一系列特性量化。这其中最重要的特性包括多晶相(镍单硅化物,镍二硅化物),平均晶粒大小,晶体织构,残余应力。 /p h3 style=" text-align: justify text-indent: 2em " 阴极荧光光谱 /h3 p style=" text-align: justify text-indent: 2em " 阴极荧光谱是利用电子束激发半导体样品,将价带电子激发到导带,之后由于导带能量高不稳定,被激发电子又重新跳回价带,并释放出能量E≤Eg(能隙)的特征荧光谱。CL谱是一种无损的分析方法,结合扫描电镜可提供与形貌相关的高空间分辨率光谱结果,是纳米结构和体材料的独特分析工具。利用阴极荧光谱,可以在进行表面形貌分析的同时,研究半导体材料的发光特性,尤其适合于各种半导体量子肼、量子线、量子点等纳米结构的发光性能的研究。 /p p style=" text-align: justify text-indent: 2em " 例如,对于氮化镓单晶,由于阴极萤光显微镜具有高的空间分辨率并且具有无损检测的优点,因此将其应用于位错密度的检测已经是行业内广泛采用的方法。目前也制定了相应的标准。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/1016.html" target=" _self" 轮廓仪 /a /h3 p style=" text-align: justify text-indent: 2em " 轮廓仪是一种两坐标测量仪器,仪器传感器相对被测工件表而作匀速滑行,传感器的触针感受到被测表而的几何变化,在X和Z方向分别采样,并转换成电信号,该电信号经放大和处理,再转换成数字信号储存在计算机系统的存储器中,计算机对原始表而轮廓进行数字滤波,分离掉表而粗糙度成分后再进行计算,测量结果为计算出的符介某种曲线的实际值及其离基准点的坐标,或放大的实际轮廓曲线,测量结果通过显示器输出,也可由打印机输出。 /p p style=" text-align: justify text-indent: 2em " 而利用先进的3D轮廓仪可以实现对硅晶圆的粗糙度检测、晶圆IC的轮廓检测、晶圆IC减薄后的粗糙度检测。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em font-size: 16px " AOI (自动光学检测) /span br/ /h3 p style=" text-align: justify text-indent: 2em " AOI的中文全称是自动光学检测,是基于光学原理来对焊接生产中遇到的常见缺陷进行检测的设备。AOI是新兴起的一种新型测试技术,但发展迅速,很多厂家都推出了AOI测试设备。当自动检测时,机器通过摄像头自动扫描PCB,采集图像,测试的焊点与数据库中的合格的参数进行比较,经过图像处理,检查出PCB上缺陷,并通过显示器或自动标志把缺陷显示/标示出来,供维修人员修整。 /p p style=" text-align: justify text-indent: 2em " 运用高速高精度视觉处理技术自动检测PCB板上各种不同贴装错误及焊接缺陷。PCB板的范围可从细间距高密度板到低密度大尺寸板,并可提供在线检测方案,以提高生产效率,及焊接质量。通过使用AOI作为减少缺陷的工具,在装配工艺过程的早期查找和消除错误,以实现良好的过程控制。早期发现缺陷将避免将坏板送到随后的装配阶段,AOI将减少修理成本将避免报废不可修理的电路板。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " ATE测试机 /span /h3 p style=" text-align: justify text-indent: 2em " 广义上的IC测试设备我们都称为ATE(AutomaticTest Equipment),一般由大量的测试机能集合在一起,由电脑控制来测试半导体芯片的功能性,这里面包含了软件和硬件的结合。 /p p style=" text-align: justify text-indent: 2em " 在元器件的工艺流程中,根据工艺的需要,存在着各种需要测试的环节。目的是为了筛选残次品,防止进入下一道的工序,减少下一道工序中的冗余的制造费用。这些环节需要通过各种物理参数来把握,这些参数可以是现实物理世界中的光,电,波,力学等各种参量,但是,目前大多数常见的是电子信号的居多。ATE设计工程师们要考虑的最多的,还是电子部分的参数比如,时间,相位,电压电流,等等基本的物理参数。就是电子学所说的,信号处理。 /p p style=" text-align: justify text-indent: 2em " 此外,原子力显微镜、俄歇电子能谱、电感耦合等离子体质谱仪、X光荧光分析、气相色谱等都可以用于半导体检测。而随着半导体制程工艺的进步,工艺过程中微小的沾污、晶格缺陷等都可能导致电路的失效等,半导体的工艺检测也凸显的越来越重要。 /p
  • 外媒:《芯片法案》对半导体生态系统意味着什么?
    现在,2022年的《芯片和科学法案》已成为法律,半导体公司正在评估如何以及是否从分配给支持芯片制造的527亿美元联邦补贴中分一杯羹。这项两党立法是在半导体供应链严重中断之后制定的,标志着多年来关于如何最好地提高美国在一个被认为对国家和经济安全至关重要的行业中的竞争力的政治争论的高潮。美国半导体制造能力已从1990年占全球供应量的近40%下降到今天的12%。未来五年将分配的CHIPS资金中约有四分之三(390亿美元)专门用于建设半导体制造厂或“晶圆厂”,其中包括专门用于军事以及汽车和制造业所必需的成熟半导体的20亿美元。其余的资金将促进更强大的美国国内的半导体生产生态系统,包括研发和劳动力培养。这些补贴可以将为美国半导体公司提供必要的缓冲,不仅可以缩小他们今天面临的巨大的人才缺口,还可以提高技能和实现劳动力的多样化。该法律为数字制造和相关劳动力技能的重大变化提供了机会。这种方法可能是跟上竞争的关键,以减小芯片的尺寸和功率,同时提高性能。然而,这笔资金带来了一个问题:新的地理制造业限制。海外制造限制《芯片法案》禁止资金接受者在中国和美国法律定义为对美国构成国家安全威胁的国家扩大半导体制造。这些限制将适用于任何新设施,除非该设施主要为该国的市场生产传统半导体。此外,这些限制 - 自资助之日起10年内适用于资助接受者 - 可能会改变。为了确保这些限制与半导体技术和美国出口管制法规保持同步,法律规定,商务部长必须与国防部长和国家情报局局长协调,在行业投入下,定期重新考虑哪些技术受到此禁令的约束。企业应仔细考虑联邦资金的潜在价值是否足以抵消这些地理制造业的限制。评估《芯片法案》的价值旨在利用芯片法案资金的公司应考虑这五个关键问题。一、全球战略首先,公司应全面评估其企业战略,以确定其全球运营方式。主要考虑因素包括:●研究与开发设计和销售半导体但与代工厂签订合同制造它们的公司可能需要考虑新的合作伙伴关系,以遵守芯片法案的地理限制。这也适用于设计自己的芯片并外包制造的非半导体公司。●制造足迹随着半导体行业对地缘政治安全变得越来越重要,世界各国政府都向芯片制造商提供补贴——通常是根据他们自己的地理要求。以此为背景,公司应考虑芯片法案的资金及其附带的限制如何要求重新平衡其制造战略。●采购和供应链随着晶圆厂在美国产能的扩大,公司应该考虑是否也应该为后端组装、测试和设备包装寻找新的合作伙伴。集成设备制造商(IDM)和代工厂可能还需要考虑在美国扩大晶圆厂产能是否更具成本效益,而不是寻求代工厂合作伙伴关系。●联盟和上市能力成功扩大产能将需要公司在其合作伙伴生态系统中共同努力,包括代工厂、半导体设备、知识产权、设计服务、无晶圆厂公司和系统制造商。二、资金追踪预计获得资助的赠款机会的竞争将非常激烈。制定一份引人注目的拨款申请,不仅要描述该项目,还要描述其支撑美国供应链,就业增长,经济效益和社会影响的潜力,这将是至关重要的。此外,联邦基金需要合规和报告。公司需要了解这些要求,其中可能包括成本的资格和允许性,围绕性能和成本的大量报告,采购法规以及项目会计和跟踪。其他法律,如戴维斯 - 培根法案,规范联邦政府资助的建筑项目的劳动力,可能适用。公司将需要一个计划来获取适当的人才,或考虑聘请外部提供者来管理授予的赠款。三、资本项目管理鉴于最近供应链的动荡和持续的熟练劳动力短缺,半导体公司比以往任何时候都更加紧张。投资扩大半导体产能的公司需要保持强大的资本项目管理能力,以确认他们可以在高通胀和高行业周期性的环境中开展项目。拥有合适的人才来为大型复杂的建筑项目提供全面的风险管理和监督至关重要。四、数字化转型平衡快速将新晶圆厂上线的财务动机与创新需求至关重要。行业特定的云解决方案旨在通过提高生产力和优化资源来加快上市时间,从而提供竞争优势。五、资本融资策略在公司考虑是否申请芯片法案资金时,他们最好为多种情况进行规划。鉴于地缘政治气候在10年内可能会发生变化,公司应考虑是否能够吸收与改变制造禁令有关的任何财务损失。除了直接补贴外,该法律还包括一项临时的25%的先进制造业投资信贷,用于半导体制造资产的支出,为购买专业工具设备创造了激励措施。符合条件的纳税人需要遵守《芯片法案》的地理制造限制,并可以选择将抵免视为税款(“直接支付”)。前景《芯片法案》可能会为半导体公司带来机会,但要实现其潜力,就需要重新思考全球战略以及数字化转型、资本项目管理和财务规划计划。地缘政治的不确定性,加上最近市场的巨大变化,要求公司仔细评估自己在半导体价值链中的地位,以及如何提高自己的地位——不仅是为了今天的敏捷性,也是为了明天的稳定性。总结为了充分利用芯片法案,半导体公司应重新评估全球战略,同时规划拨款追求,数字化转型,资本项目管理和财务规划。资金接受者不得在中国或任何对美国国家安全构成威胁的国家扩大半导体制造业。这些补贴可以为半导体公司提供缓冲,以提升技能和使其劳动力多样化。一、会议概述半导体产业作为现代信息技术产业的基础,已成为社会发展和国民经济的基础性、战略性和先导性产业,是现代日常生活和未来科技进步必不可少的重要组成部分;伴随着全球科技逐渐进步,全球范围内半导体产业规模基本都保持着持续扩张态势。美国半导体产业协会(SIA)发布数据显示,2021年全球售出1.15万亿颗芯片,销售额达到创纪录的5559亿美元,同比增长26%。这也是全球半导体市场规模首次突破5000亿美元。基于此,仪器信息网联合电子工业出版社特主办首届“半导体工艺与检测技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与检测技术,从各种半导体制造工艺及其检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。主办单位: 仪器信息网 电子工业出版社直播平台:仪器信息网网络讲堂平台会议官网:https://www.instrument.com.cn/webinar/meetings/semiconductor20220920/会议形式:线上直播,免费报名参会(报名入口见会议官网或点击上方图片)二、会议日程首届“半导体工艺与检测技术”网络会议9月26-27日时间专场名称9月26日上午薄膜沉积与外延及其检测技术9月26日下午光刻与刻蚀及其检测技术9月27日上午封装及其检测技术9月27日下午半导体失效分析及沾污检测三、 会议联系 会议内容: 康编辑(仪器信息网) 15733280108 kangpc@instrument.com.cn 会议赞助: 刘经理 15718850776(同微信) liuyw@instrument.com.cn
  • 关于召开首届“半导体工艺与检测技术”网络会议的通知
    一、会议概述半导体产业作为现代信息技术产业的基础,已成为社会发展和国民经济的基础性、战略性和先导性产业,是现代日常生活和未来科技进步必不可少的重要组成部分;伴随着全球科技逐渐进步,全球范围内半导体产业规模基本都保持着持续扩张态势。美国半导体产业协会(SIA)发布数据显示,2021年全球售出1.15万亿颗芯片,销售额达到创纪录的5559亿美元,同比增长26%。这也是全球半导体市场规模首次突破5000亿美元。基于此,仪器信息网联合电子工业出版社特联合主办首届“半导体工艺与检测技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与检测技术,从各种半导体制造工艺及其检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。主办单位: 仪器信息网 电子工业出版社直播平台:仪器信息网网络讲堂平台会议官网:https://www.instrument.com.cn/webinar/meetings/semiconductor20220920/(内容更新中)会议形式:线上直播,免费报名参会(报名入口见会议官网)二、会议日程首届“半导体工艺与检测技术”网络会议9月26-27日时间专场名称9月26日上午薄膜沉积与外延及其检测技术9月26日下午光刻与刻蚀及其检测技术9月27日上午封装及其检测技术9月27日下午其它工艺技术与应用三、 会议联系 会议内容: 康编辑(仪器信息网) 15733280108 kangpc@instrument.com.cn 会议赞助: 刘经理 15718850776(同微信) liuyw@instrument.com.cn
  • FormFactor和T.I.P.S.合作为功率半导体器件提供测试解决方案
    近日,半导体行业领先的电气测试和测量供应商FormFactor,Inc.和专业高压大电流探针卡的领先供应商T.I.P.S.Messtechnik GmbH(T.I.P.S.)宣布,两家公司已加入MeasureOne合作伙伴关系,为大功率器件提供测试和测量解决方案。MeasureOne是FormFactor和一组选定的合作伙伴之间的承诺,旨在提供经性能验证的集成解决方案,以解决客户的测试和测量应用程序。功率半导体通常用于电动和混合动力电动汽车 (EV/HEV)。为满足市场需求,功率半导体制造商正在迅速采用碳化硅 (SiC) 和氮化镓 (GaN) 等材料,这些材料可在更高的电压、温度和频率下工作,同时提高效率和可靠性。据Yole电力&无线和电池首席分析师米兰罗西纳博士(Milan Rosina)预测,2018-2024年,EV/HEV半导体功率器件市场复合年均增长率为21%,超过37亿美元。FormFactor 系统业务部副总裁兼总经理克劳斯迪特里希(Claus Dietrich)表示:"FormFactor 已为业界领先的 200 mm 和 300 mm 大功率探头系统开发了专用应用层,以支持利用 T.I.P.S. 高压抗电探针卡的高功率半导体器件的独特测试要求。"这种优化的解决方案为客户提供了一种简单而安全的方法,用于进行半自动和全自动高功率设备特性测量。与专业高压和高电流探头卡的市场领导者合作,使我们能够优化我们的环境,提供能够解决客户最具挑战性的工程探头系统。Rainer Gaggl博士说:“我们的高压‘LuPo’探针卡采用专利的闪络抑制技术,可提高测试环境的击穿电压,防止在晶圆上进行高压测量时产生电弧和闪络。”,T.I.P.S.董事总经理:“FormFactor的高功率工程探头是我们高电压/高功率探头卡的天然合作伙伴。设备制造商真正受益于我们的合作带来的综合经验。”FormFactorFormFactor,Inc.是一家在整个集成电路生命周期(从计量和检验、特性描述、建模、可靠性和设计调试到鉴定和生产测试)中提供关键测试和测量技术的领先供应商。半导体公司依靠FormFactor的产品和服务,通过优化设备性能和提高产量知识来加速盈利。该公司通过其在亚洲、欧洲和北美的设施网络为客户提供服务。T.I.P.S.T.I.P.S.Messtechnik GmbH总部位于奥地利维拉赫,其专业团队在产品生命周期的各个阶段为全球客户提供支持,从研发和测试概念、工程和设计、生产,到全面的售后维护和支持。凭借成熟的工艺、深入的技术专长和最快的反应时间,该公司是微芯片测试接口硬件领域公认的领导者。
  • 直播预告!半导体可靠性测试和失效分析技术(赛宝实验室专场)篇
    2023年10月18-20日,仪器信息网(www.instrument.com.cn) 与电子工业出版社将联合主办第四届“半导体材料与器件分析检测技术与应用”主题网络研讨会。iCSMD 2023会议围绕光电材料与器件、第三代半导体材料与器件、传感器与MEMS、半导体产业配套原材料等热点材料、器件的材料分析、失效分析、可靠性测试、缺陷检测和量测等热点分析检测技术,为国内广大半导体材料与器件研究、应用及检测的相关工作者提供一个突破时间地域限制的免费学习平台,让大家足不出户便能聆听到相关专家的精彩报告。本次大会分设:半导体材料分析技术新进展、可靠性测试和失效分析技术、可靠性测试和失效分析技术(赛宝实验室专场)、缺陷检测和量测技术4个主题专场,诚邀业界人士报名参会。主办单位:仪器信息网,电子工业出版社参会方式:本次会议免费参会,参会报名请点击会议官网:https://www.instrument.com.cn/webinar/meetings/icsmd2023/ 或扫描二维码报名“可靠性测试和失效分析技术”专场预告(注:最终日程以会议官网为准)时间报告题目演讲嘉宾专场:可靠性测试和失效分析技术(赛宝实验室专场)(10月19日下午)专场主持人:吕宏峰(工业和信息化部电子第五研究所 高级工程师)14:00高端集成电路5A分析评价技术师谦(工业和信息化部电子第五研究所 高级工程师)14:30光学显微分析技术在半导体失效分析中的应用刘丽媛(工业和信息化部电子第五研究所 高级工程师)15:00集成电路振动、冲击试验评价邓传锦(工业和信息化部电子第五研究所 高级工程师)15:30光发射显微镜原理及在失效分析中的应用蔡金宝(工业和信息化部电子第五研究所 部门主任/高级工程师)16:00半导体集成电路热环境可靠性试验方法与标准陈锴彬(工业和信息化部电子第五研究所 工程师)16:30电子制造中的可靠性工程邹雅冰(工业和信息化部电子第五研究所 高级工程师/工艺总师)17:00集成电路静电放电失效分析与评价何胜宗(工业和信息化部电子第五研究所 高级工程师)嘉宾简介及报告摘要(按分享顺序)专场主持人:吕宏峰 工业和信息化部电子第五研究所 高级工程师【个人简介】吕宏峰,博士,高级工程师,主要从事元器件质量与可靠性相关的科研任务,累计负责和参与省部级项目20余项,具有丰富的测试检测及科研经验,发表SCI\EI论文十余篇,授权专利4项,编撰2本技术专著。报告题目:碳化硅器件的新型电力系统应用与可靠性研究师谦 工业和信息化部电子第五研究所 高级工程师【个人简介】师谦,中国赛宝实验室(工业和信息化部电子第五研究所)高级工程师, 硕士,现任工业和信息化部电子第五研究所元器件可靠性研究分析中心元器件可靠性工程部总工。硕士毕业于电子科技大学微电子技术专业。1998年入职工业和信息化部电子第五研究所元器件可靠性研究分析中心,专业从事集成电路失效机理,失效分析技术和环境适用性试验技术研究。荣获省部级科技奖6次,主持和参与4项国家标准制定,参与发表专著和文章7篇。报告题目: 高端集成电路5A分析评价技术【摘要】高端芯片的可靠性保证技术,在材料,工艺和外部应力几个层面进行分析评价,实现产品可靠性提升。刘丽媛 工业和信息化部电子第五研究所 高级工程师【个人简介】刘丽媛,女,毕业于中山大学微电子学与固体电子学专业,硕士研究生,长期从事分立器件、集成电路等元器件可靠性分析和评价工作,擅长塑封集成电路在航空装备领域及全海深无人潜水器领域的应用风险评估,2018年获得国防科学技术进步奖一等奖一项,2020年作为项目负责人完成电子元器件领域省部级科研项目1项,参与其他国家重大工程、研究项目10余项,包括广东省科技厅重点领域研发计划高端芯片可靠性与可信任性评价分析关键技术、面向高频开关电源应用的8英寸Si衬底上GaN基功率器件的关键技术研究及产业化等,并参与国家新材料测试评价平台-战略性电子材料测试评价中心建设工作,曾与航空装备研制单位、无人深潜器研制单位、电力企业、家电企业等开展多项项目合作,连续5年担任国际标准组织JEDEC质量与可靠性委员会中国区工作组秘书长,发表论文10余篇。报告题目: 光学显微分析技术在半导体失效分析中的应用【摘要】报告简要介绍光学显微镜的分类、原理和特点,重点结合应用案例讲解光学显微技术在半导体失效分析中的重要作用,如样品外观、内部结构检查及失效发现,与电学分析、化学分析联用分析等。邓传锦 工业和信息化部电子第五研究所 高级工程师【个人简介】工业和信息化部电子五所高级工程师,主要从事元器件可靠性寿命及环境试验评估方法研究,具有超过10年丰富的一线试验操作经验,熟悉各类元器件检测试验标准,对元器件可靠性试验评价有独特的见解。承担了多项省部级机械试验、寿命试验方面检测技术研究类课题,发表机械试验、寿命试验及环境试验方面论文13篇,EI收录8篇。报告题目: 集成电路振动、冲击试验评价【摘要】1、集成电路振动试验评价 对集成电路常用振动试验标准中扫频振动、随机振动试验条件、方法、注意事项及振动夹具设计测试方法进行讲解。 2、集成电路冲击试验评价 对集成电路常用冲击试验标准中标准波形冲击、冲击响应谱、轻量级冲击、瞬态脉冲波形冲击等试验条件、方法、注意事项及失效案例进行讲解。蔡金宝 工业和信息化部电子第五研究所 部门主任/高级工程师【个人简介】蔡金宝,硕士,高级工程师,毕业于北京大学微电子与固体电子学,现任工业和信息化部电子第五研究所系统工程中心项目工程部主任,主要从事电子系统元器件级、板级的可靠性研究和分析工作,主持过多个行业龙头企业的可靠性提升服务工作。在电子产品的可靠性工作流程优化、可靠性增长与评价、故障根因分析、物料评估与优选、寿命分析与评价方面有着丰富的工作经验。在电子元器件可靠性管控方面,曾为通讯、家电、军工、汽车电子等行业的标杆客户提供服务,包括定制模块的可靠性评估与增长、物料选用体系优化、替代物料的验证等。报告题目: 光发射显微镜原理及在失效分析中的应用【摘要】光发射显微镜技术(EMMI)和激光扫描显微镜技术(OBIRCH)能快速定位芯片失效区域,广泛应用于器件的失效分析。本报告主要介绍EMMI和OBIRCH的理论基础和成像原理,通过两种技术的应用及实际案例,对比两者区别,并详细介绍两种技术的应用范围。最后对试验设备进行简单介绍。陈锴彬 工业和信息化部电子第五研究所 工程师【个人简介】本科和硕士毕业于华南理工大学,目前在工业和信息化电子第五研究所任职项目工程师,主要从事电子元器件可靠性环境与寿命试验的开展和研究工作。在可靠性环境与寿命试验领域:个人实操开展的试验项目上千项;参与了多项省部级课题的研究工作,发表学术论文7篇,其中6篇被SCI或EI收录;申请发明专利3项。支撑并解决了若干款新产品在鉴定检验时,在环境试验方面的匹配性问题。报告题目:半导体集成电路热环境可靠性试验方法与标准【摘要】热环境试验是考核和验证产品环境适应性的一类可靠性试验。对于半导体集成电路,常用的热环境可靠性试验包括温度循环、热冲击、高低温贮存、高低温工作等试验。本报告从试验的方法和原理出发,分析不同热环境试验对样品的考核目的及差异。并进一步结合集成电路常用的热环境试验标准和相关的案例,对开展试验时的注意事项进行介绍。邹雅冰 工业和信息化部电子第五研究所 高级工程师/工艺总师【个人简介】邹雅冰 工业和信息化部电子第五研究所 元器件可靠性分析中心 高级工程师 工艺总师,办公室主任,IPC特邀专家。 专业从事电子装联工艺可靠性技术研究,拥有丰富的科研及工程项目经验,擅长印制板及其组件失效分析、工艺制程改进和工艺可靠性试验评价技术,先后主持/参与30多项IPC、国标、行标等相关标准的制修订及审核工作,服务多家单位的工艺优化及改进相关咨询项目。报告题目: 电子制造中的可靠性工程【摘要】从制造大国到制造强国,实现高质量发展,可靠性必不可少。电子制造是一个复杂的高技术的工艺工程,而可靠性是一项系统工程。出厂合格不等于可靠,不可靠的产品不具有品牌竞争力。高可靠的电子制造需要系统导入可靠性工程,本课程简要介绍了导入的基本方法和流程。何胜宗 工业和信息化部电子第五研究所 高级工程师【个人简介】何胜宗,可靠性高级工程师、iNARTE认证ESD工程师、TSQ项目黑带。专业从事电子产品质量可靠性整体解决(TSQ/TSR)项目的技术咨询和辅导工作。在电子元器件检测、失效分析领域,具有丰富的实践经验,积累了大量电子元器件物料缺陷、制造工艺不良、静电防护不当等诱发产品失效的案例经验和相应的解决方案。帮助客户查明引起重大质量事故的根本原因,并提出有效的整改方案及预防措施,获得客户好评与认可。积累了大量由于ESD损伤的失效分析案例,对ESD损伤现场诊断、分析以及防护管控体系整改、培训具有丰富的实践经验。辅导了多家企业的静电防护体系改造工程,使相关人员全面掌握了电子制造过程的静电防护原理、方法和管控措施,并使企业通过了IEC61340/ESDA S20.20标准体系认证。开展静电防护体系建设辅导相关的企业有:华高王氏、ABB、技研新阳、美维电子、成都振芯科技、贵州振华风光、新风光电子、美的空调、美的冰箱、美的机电、海信空调、海信日立、杭州先途电子、昆山神讯电脑、上海渡省、万和电气、武汉新芯、九院五所、中航609、兵器203、4724、5721、南京海泰、重庆东风小康、三川智慧水表、中山名门等。报告题目: 集成电路静电放电失效分析与评价【摘要】报告聚焦集成电路静电放电失效分析与评价技术,介绍了生产工序中典型的静电风险来源以及静电放电诱发失效的放电路径、失效类型和深层机理过程;以真实工程案例为基础,介绍了在产线失效或者客退品分析工作中,如何排查静电诱发失效并进行整改的工作思路和技巧;最后,介绍了集成电路的静电放电评价方法和相应的防护措施。会议联系会议内容仪器信息网康编辑:15733280108,kangpc@instrument.com.cn会议赞助周经理,19801307421,zhouhh@instrument.com.cn
  • 全国半导体真空科学仪器暨第三届锑化物半导体技术大会召开
    7月28至30日,全国半导体真空科学仪器暨第三届全国锑化物半导体与光机电系统集成大会在山西省晋城召开。本届大会在国家自然科学基金委、科技部高技术中心、山西省政府的共同指导下召开;大会还得到山西省晋城市委、市城府的大力支持。  本次会议是继2019年第二届“全国锑化物半导体技术创新与应用发展”大会后的第三届会议,由中国科学院半导体研究所牛智川研究员团队发起。  沈学础、陈良惠、范守善、祝世宁、雒建斌、刘明、彭练矛、张跃等学者到场,与各位参会专家、地方领导、企商代表就锑化物半导体基础材料、光电器件、系统集成技术、半导体真空科学仪器进行了深入交流;对相关领域的技术迭代、发展路径、现实困境等问题进行了全方位探讨。  学者代表认为:锑化物半导体材料经过近些年的迅猛发展,已抢占下一代半导体器件技术的发展先机,为红外光电器件技术体系变革提供了新的战略方向;半导体真空科学仪器设备自主制造迎来重大机遇。  自二十一世纪初,锑化物半导体纳米低维结构外延材料技术实现重大突破,促使红外光电器件技术迅猛发展。对比传统红外光电材料,锑化物低维结构材料可以覆盖大部分红外谱段,芯片制造技术适用于先进的III-V族半导体制造平台技术,使得光电器件技术性能,特别是红外焦平面探测器技术实现前所未有的突破。  短短数十年,锑化物半导体光电材料和器件技术“已经走出实验室”,为空间遥感、激光与卫星通信、危化品及环境监测检测、成像制导夜视、生物医学诊断等高端光电系统或装备,提供高性能核心器件技术支撑。  由此,提速国产化进程,实现半导体设备的自主创新、国产替代;构建完整的半导体产业链、形成良性生态,已经成为中国半导体行业的普遍共识。  目前,中国在半导体科技研究领域涉及面广,科研水平日益提高,部分领域已与世界水平持平;科研投入量大,在规模上称得上是半导体大国,但仍存在软件工具、硬件设备对外依赖,成果转化与产业应用脱节等问题。唯有协同努力,开拓半导体科技创新发展之路,全面提振内在实力,才能逐步摆脱封锁,享有国际话语权。
  • 半导体材料无机非金属离子和金属元素解决方案——光刻胶篇
    半导体材料无机非金属离子和金属元素解决方案——光刻胶篇李小波 潘广文 近年来,随着物联网、人工智能、新能源汽车、消费类电子等领域的应用持续增长以及5G的到来,集成电路(integrated circuit)产业发展正迎来新的契机。集成电路制造过程中,光刻工艺约占整个芯片制造成本的35%,是半导体制造中最核心的工艺。涉及到的材料包括多种溶剂、酸、碱、高纯有机试剂、高纯气体等。在所有试剂中,光刻胶的技术要求最高。赛默飞凭借其在离子色谱和ICPMS的技术实力,不断开发光刻胶及光刻相关材料中痕量无机非金属离子和金属离子的检测方案,助力光刻胶产品国产化进程。从光刻胶溶剂、聚体、显影液等全产业链,帮助半导体客户建立起完整的质量控制体系。 光刻胶是什么?光刻胶又称抗刻蚀剂,是半导体行业的图形转移介质,由感光剂、聚合物、溶剂和添加剂等四种基本成分组成。将光刻胶旋涂在晶圆表面,利用光照反应后光刻胶溶解度不同而将掩膜版图形转移到晶圆表面,实现晶圆表面的微细图形化。根据光刻机的曝光波长不同,光刻胶种类也不同。 光刻相关材料光刻相关材料主要有溶剂、显影剂、清洗剂、刻蚀剂和去胶剂,这些材料被称为高纯湿电子化学品,是集成电路行业应用非常广泛的一类化学试剂。光刻胶常用溶剂有丙二醇甲醚/丙二醇甲醚醋酸酯(PGME/PGMEA)、甲醇、异丙醇、丙酮和N-甲基吡咯烷酮(NMP)等。常见的正胶显影剂有氢氧化钠和四甲基氢氧化铵等,对应的清洗剂是超纯水。 光刻胶及光刻相关材料中金属离子、非金属阴离子对集成电路的影响半导体材料拥有独特的电性能和物理性能,这些性能使得半导体器件和电路具有独特的功能。但半导体材料也容易被污染损害,细微的污染都可能改变半导体的性质。通常光刻胶、显影液和溶剂中无机非金属离子和金属杂质的限量控制在ppb级别,控制和监测光刻工艺中无机非金属离子和金属离子的含量,是集成电路产业链中非常重要的环节。 光刻胶及光刻相关材料中无机金属离子、非金属离子的测定方法国际半导体设备和材料产业协会(Semiconductor Equipment and Materials International,SEMI)对光刻胶、光刻工艺中使用的显影剂、清洗剂、刻蚀剂和去胶剂等制定了严格的无机金属离子和非金属离子的限量要求和检测方法。离子色谱是测定无机非金属离子杂质(F-、Cl-、NO2- 、Br-、NO3- 、SO42-、PO43-、NH4+)最常用的方法。在SEMI标准中,首推用离子色谱测定无机非金属离子,用ICPMS测定金属元素。赛默飞凭借其离子色谱和ICPMS的领先技术,紧扣SEMI标准,为半导体客户提供简单、快速和准确的光刻胶和光刻相关材料中无机金属离子和非金属离子的检测方案,确保半导体产业的发展和升级顺利进行。针对光刻胶及光刻相关材料中痕量无机非金属离子和金属元素的分析,赛默飞离子色谱和ICPMS提供三大解决方案。 方案一 NMP、PGMEA、DMSO等有机溶剂中痕量无机金属和非金属离子的测定方案 光刻胶所用有机溶剂中无机非金属离子的限量要求低至ppb~ppm级别。赛默飞离子色谱提供有机溶剂直接进样的方式,通过谱睿技术在线去除有机基质,一针进样同时分析SEMI标准要求监控的无机非金属离子。整个分析过程无需配制任何淋洗液和再生液,方法高效稳定便捷,避免了试剂、环境、人员等因素可能引入的污染。ICS 6000高压离子色谱有机试剂阀切换流路图 滑动查看更多 光刻胶溶剂中ng/L级超痕量金属杂质的测定,要求将有机溶剂直接进样避免因样品制备过程引起的污染。由于 PGMEA 和 NMP具有高挥发性和高碳含量,其基质对ICPMS分析会引入严重的多原子离子干扰,并对等离子体带来高负载。iCAP TQs ICP-MS 中采用等离子体辅助加氧除碳,并结合冷等离子体、串联四级杆和碰撞反应技术,可有效去除干扰。变频阻抗式匹配的RF发生器设计,可轻松应对有机溶剂直接进样,并可实现冷焰和热焰模式的稳定切换。 冷焰TQ-NH3模式测定NMP中Mg热焰TQ-O2模式测定NMP中V NMP、PGMEA有机溶剂直接进样等离子体状态未加氧(左),加氧(右) 方案二 显影液中无机金属离子及非金属离子测定方案 光刻工艺中常用的正胶显影液是氢氧化钠和四甲基氢氧化铵,对于这两大碱性试剂赛默飞推出强大的在线中和技术,样品仅需稀释2倍或无需稀释直接进样,避免了样品前处理引入的误差和污染,对此类样品中阴离子的定量限达到10ppb以下。这一方法帮助多家高纯试剂客户解决了碱液检测的技术难题,将该领域的高纯试剂纯度提升到国际先进水平。中和器工作原理四甲基氢氧化铵TMAH是具有强碱性的有机物,作为显影液的TMAH常用浓度为2.38%, 为了避免样品处理中引入的污染,ICPMS通常采用直接进样方式测定。在高温下长时间进样碱性样品,会导致腐蚀石英炬管,引起测定空白值的提高。iCAP TQs使用最新设计的SiN陶瓷材料Plus Torch,耐强酸强碱,可一劳永逸地解决碱性样品中痕量金属离子的测定。新型等离子体炬管Plus Torch 方案三 光刻胶单体和聚体中卤素及金属离子测定方案 光刻胶单体和聚体不溶于水,虽溶于有机试剂但容易析出,常规方法难以去除基质影响。赛默飞推出CIC在线燃烧离子色谱-测定单体和聚体中的卤素,通过燃烧,光刻胶样品基质被完全消除,实现一次进样同时分析样品中的所有卤素含量。燃烧过程实时监控,测定结果准确稳定,满足光刻胶中痕量卤素的限量要求。图 CIC燃烧离子色谱仪SEMI P32标准使用原子吸收、ICP光谱和ICP质谱法来测定光刻胶中ppb级的Al Ca Cr 等10种金属杂质,样品前处理可采用溶剂溶解和干法灰化酸提取两种方法。溶剂溶解法是使用PGMEA等有机溶剂将样品稀释50-200倍,超声波振荡充分溶解后,直接进样测定。部分聚合物较难溶解于有机溶剂中,将采用500-800度干法灰化处理,并用硝酸溶解残留物提取。iCAP TQs采用在样品中添加内标工作曲线法测定,对于不同基质样品及处理方法的样品可提供准确的测定结果。 总结 针对集成电路用光刻胶及光刻相关材料,赛默飞离子色谱和ICPMS提供无机非金属离子和金属离子杂质检测的完整解决方案,为光刻胶及高纯试剂客户提供安全、便捷可控的全方位支持。“胶”相辉映,赛默飞在行动,助力集成电路产业发展,促进光刻胶国产化进程,欢迎来询! 参考文献:1.SEMI F63-0521 GUIDE FOR ULTRAPURE WATER USED IN SEMICONDUCTOR PROCESSING2.SEMI P32-1104 TEST METHOD FOR DETERMINATION OF TRACE METALS IN PHOTORESIST3.SEMI C43-1110 SPECIFICATION FOR SODIUM HYDROXIDE, 50% SOLUTION4.SEMI C46-0812 GUIDE FOR 25% TETRAMETHYLAMMONIUM HYDROXIDE5.SEMI C72-0811 GUIDE FOR PROPYLENE-GLYCOL-MONO-METHYL-ETHER (PGME), PROPYLENE-GLYCOL-MONO-METHYL-ETHER-ACETATE (PGMEA) AND THE MIXTURE 70WT% PGME/30WT% PGMEA6.SEMI C33-0213 SPECIFICATIONS FOR n-METHYL 2-PYRROLIDONE7.SEMI C28-0618 SPECIFICATION AND GUIDE FOR HYDROFLUORIC ACID8.SEMI C35-0118 SPECIFICATION AND GUIDE FOR NITRIC ACID9.SEMI C36-1213 SPECIFICATIONS FOR PHOSPHORIC ACID10.SEMI C44-0618 SPECIFICATION AND GUIDE FOR SULFURIC ACID11.SEMI C41-0618 SPECIFICATION AND GUIDE FOR 2-PROPANOL12.EMI C27-0918 SPECIFICATION AND GUIDE FOR HYDROCHLORIC ACID13.SEMI C23-0714 SPECIFICATIONS FOR BUFFERED OXIDE ETCHANTS
  • 第三届“半导体工艺及封装检测新技术”网络会议第一轮通知
    半导体工艺是当今世界中不可或缺的一项技术,它影响着我们生活的各个方面。从计算机到通信,从医疗到能源,几乎所有现代科技应用都依赖于半导体器件的存在。半导体工艺的重要性源于其能够制造出微小而精密的电子器件,这些器件能够在电子级别控制电流和信息流动。这种控制能力使得我们可以创造出计算速度极快的处理器、储存大量数据的芯片、实现高速通信的设备,甚至是探索未知领域的科学工具。基于此,仪器信息网联合电子工业出版社于5月9-10日组织召开第三届“半导体工艺及封装检测新技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与封装检测技术,从各种半导体制造工艺及封装检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。一、主办单位仪器信息网&电子工业出版社二、会议时间2024年5月9日-10日三、会议日程四、参会方式1、本次会议免费参会,参会报名请点击:https://www.instrument.com.cn/webinar/meetings/semicon2024/扫描二维码报名2、温馨提示1) 报名后,直播前一天助教会统一审核,审核通过后,会发送参会链接给报名手机号。填写不完整或填写内容敷衍将不予审核。2) 通过审核后,会议当天您将收到短信提醒。点击短信链接,输入报名手机号,即可参会。五、报告申请欢迎半导体制造、半导体设备商、高校科研院所从事半导体工艺、封装检测的专家老师自荐,有意向进行报告分享的老师请于2024年4月29日之前将姓名、职位、单位、报告题目、摘要,以及联系方式(邮箱、电话)发至邮箱:guozw@instrument.com.cn,联系电话:17325206387。由于会议时长有限,会务组将根据与会议主题的契合度,以及收到邮件或电话申请的时间择优选用,敬请谅解!如果录用,我们会在第一时间与您取得联系!六、会议联系1、会议内容仪器信息网郭编辑:17325206387,guozw@instrument.com.cn2、会议赞助刘经理,15718850776,liuyw@instrument.com.cn附:往届会议页面第二届半导体工艺及检测技术网络会议首届半导体工艺及其检测技术网络会议
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制