当前位置: 仪器信息网 > 行业主题 > >

硅片倒片机

仪器信息网硅片倒片机专题为您提供2024年最新硅片倒片机价格报价、厂家品牌的相关信息, 包括硅片倒片机参数、型号等,不管是国产,还是进口品牌的硅片倒片机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合硅片倒片机相关的耗材配件、试剂标物,还有硅片倒片机相关的最新资讯、资料,以及硅片倒片机相关的解决方案。

硅片倒片机相关的资讯

  • 国晶半导体12英寸大硅片实现全自动量产 规划年产能480万片
    1月9日,国晶(嘉兴)半导体有限公司(以下简称“国晶半导体”)举行全自动12英寸半导体大硅片生产线量产新闻发布会,宣布打通了全自动生产线,公司的12英寸抛光片处于国内领先水平。(国晶半导体董事长陆仁军讲解项目进展)需要强调的是,国晶半导体建成全自动12英寸半导体硅片生产线,意味着柘中股份转型半导体材料业务迈出一大步。目前,柘中股份持有国晶半导体44.44%股权,并合计持有其58.69%的表决权。全自动大硅片生产线建成一辆辆天车在天花板上来回穿梭,配合各类机台有条不紊地递送着大硅片,自动完成倒角、抛光等一系列步骤。这是记者在国晶半导体看到的大硅片生产流程。(国晶半导体的长晶车间)“国晶半导体在成立之初,就确立了对标全球最先进半导体大硅片厂商的目标,立志组建全球顶尖的专家技术团队,造全球领先的大尺寸硅片。”在发布会上,国晶半导体董事长陆仁军介绍,国晶半导体主要研发、生产、销售集成电路核心半导体材料12英寸大硅片及满足28纳米以下制程标准的抛光片和外延片。需要提及的一个产业背景是,全球对集成电路的需求持续增长,半导体硅片尤其是12英寸大硅片供不应求,产能缺口持续增长。SEMI(国际半导体产业协会)统计,2020年全球晶圆制造材料市场总额达349亿美元,其中硅片和硅基材料的销售额占比达到36.64%约为128亿美元。SEMI预测,全球半导体制造商将在2022年前开建29座高产能晶圆厂,绝大部分为12英寸晶圆厂,这将持续提升12英寸硅片的需求。但在供给端,全球半导体硅片市场垄断在日本信越、日本三菱住友SUMCO、环球晶圆、德国Siltronic、韩国SKSiltron等五大供应商手里,合计占据了全球超过90%的市场份额。“不管是从存量市场看,还是从增量市场展望,国内半导体厂商包括大硅片厂商都迎来巨大的发展前景。”陆仁军介绍,目前,国内外晶圆厂持续处于满负荷运转,且产品不断涨价。产能方面,国晶半导体规划产能为年产12英寸半导体硅片480万片。该项目分为两期实施,其中一期规划建设月产能15万片,二期规划建设月产能30万片。转型半导体业务迈出一大步国晶半导体建成全自动12英寸半导体硅片生产线,意味着柘中股份转型半导体材料业务迈出一大步。柘中股份2021年9月27日公告,为布局集成电路上游产业,促进公司产业结构转型升级,公司拟出资8.16亿元,认购中晶半导体8亿元新增注册资本。本次增资完成后,中晶半导体注册资本增至18亿元,柘中股份持有中晶半导体44.44%股份;同时,康峰投资将其持有的中晶半导体14.25%股权对应表决权无条件委托给柘中股份;最终,柘中股份合计持有中晶半导体58.69%表决权,成为其控股股东。2021年11月24日,柘中股份披露,公司控股子公司中晶(嘉兴)半导体有限公司改名为国晶(嘉兴)半导体有限公司。柘中股份表示,公司根据现金流安排和国晶半导体目前经营情况及未来发展,在国晶半导体尚未投产前增资入股,有利于在避免承担国晶半导体设立初期投资风险的前提下,锁定投资低价,降低上市公司投资成本。本次投资后,柘中股份主营业务将涉及半导体材料行业。陆仁军介绍,国晶半导体12英寸硅片生产线是嘉兴市着力引进的项目,也是南湖区重大集成电路项目。为提升技术及硅片品质,国晶半导体成立了晶体生长实验室、物理、化学以及应用实验室,并争取2022年跻身为国家一流实验室;届时将填补南湖区在集成电路产业核心原材料方面的空白,并带动长三角地区大硅片相关辅助材料、设备投资及相关配套产业发展。柘中股份已经与其客户建立起广泛的业务合作。据悉,包括中芯国际、华虹宏力等国内晶圆厂,广泛采购柘中股份的电力系统相关设备。近年来,柘中股份也深度参与了多个国内晶圆厂的建设任务。
  • 鑫晶半导体首批 12 英寸半导体大硅片成功下线
    p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) text-indent: 2em " 近日,我国半导体制造工艺实现又一重大突破,由协鑫集成参股的徐州鑫晶半导体科技有限公司首批 12 英寸半导体大硅片成功下线。 /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) text-indent: 2em " 了解到,徐州鑫晶半导体大硅片项目,一期投资 68 亿元,建设 12 英寸半导体大硅片长晶及切磨抛生产线,年规划产能 360 万片。该项目是江苏省、徐州市重大产业项目,也是协鑫集团在江苏鑫华半导体项目投产之后,在徐州的又一布局。 /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) text-indent: 2em " 2019 年 12 月 9 日,徐州鑫晶半导体 12 英寸大硅片长晶产线试产成功。2020 年 4 月,徐州市举行一季度重大产业项目观摩点评会,会议上透露了鑫晶半导体大硅片项目正在试生产,预计 2020 年 10 月量产。 /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) text-indent: 2em " 为支持项目的建设和发展,此前徐州市产业引导基金与政府投资基金、金融资本和产业资本等共同出资设立总规模 44.1 亿元的专项基金,并全部投入大硅片项目。 /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) text-indent: 2em " 当前,我国半导体硅片需求随着晶圆产能的扩张持续增长,但国产化比例低,目前能够量产的国产 12 英寸硅片以测试片为主,无法满足正片需求,特别是 28nm 以下先进集成电路制造工艺的要求,12 英寸硅片成为制约我国集成电路产业发展和产业安全的关键瓶颈。 /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) text-indent: 2em " 方正证券研报显示,国内晶圆厂 2020 年之前主要还是 8 英寸线为主,预计到 2020 年,国内 8 英寸硅片的需求在接近 100 万片 / 月,2020 年之后国内 12 英寸晶圆厂占比会超过 8 英寸晶圆厂,相应的硅片需求也超过 8 英寸硅片需求。徐州鑫晶半导体大硅片项目规划分两期建设国际先进的 12 英寸半导体大硅片长晶及切磨抛生产线,完全达产后产能将达 60 万片 / 月,将有效填补国内大硅片供应需求,有望支撑我国集成电路产业的安全可持续发展。 /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) text-indent: 2em " 据悉,鑫晶半导体大硅片项目已于 2018 年 11 月入选江苏省重大建设项目,获得江苏省战略性新兴产业专项资金支持。同时,项目有望入选大国家半导体大基金二期投资项目,成为国家聚集资源予以重点倾斜支持的少数半导体硅片企业之一。 /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) " & nbsp /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: center color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) " a href=" https://upload.semidata.info/new.eefocus.com/article/image/2020/10/20/5f8e9307ce22a.jpg" target=" _blank" rel=" external nofollow" style=" box-sizing: border-box color: rgb(0, 112, 201) text-decoration-line: none background: transparent touch-action: manipulation " img src=" https://upload.semidata.info/new.eefocus.com/article/image/2020/10/20/5f8e9307ce22a-thumb.jpg" style=" box-sizing: border-box vertical-align: middle border: 0px none border-radius: 3px max-width: 800px height: auto !important " / /a /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) " & nbsp /p p style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) text-indent: 2em " 了解到,协鑫集成自 2018 年以来持续进军半导体产业,打造第二主业。2018 年 7 月协鑫集成发布公告,联合徐州市产业发展引导基金、徐州市老工业基地产业发展基金等多家机构共同出资设立半导体产业基金睿芯基金,协鑫集成以自有资金人民币 5.61 亿元持有睿芯基金 25.38%的股份,通过该产业基金目前协鑫集成持有徐州鑫晶半导体约 13.3%的股份。此次 12 英寸半导体大硅片成功下线,是公司半导体产业迈出的重要步伐,未来对公司业绩会产生积极影响。 /p p dir=" ltr" style=" box-sizing: border-box margin-top: 0px margin-bottom: 3px overflow-wrap: break-word font-family: & quot Times New Roman& quot , Times, serif, STSongti-SC-Regular line-height: 2 text-align: justify color: rgb(51, 51, 51) white-space: normal background-color: rgb(255, 255, 255) text-indent: 2em " & nbsp 此外,协鑫集成再生晶圆定增项已落户合肥正在推进之中,同时,协鑫集团旗下鑫华半导体电子级多晶硅项目于 2015 年得到了国家半导体大基金一期资金的支持,其打造的国内首条 5000 吨电子级多晶硅专用线已于 2018 年实现量产和出口。2016 年协鑫集团收购美国 SunEdison 截至目前,协鑫集团深度布局半导体材料产业链,已初步行成“电子级多晶硅+大硅片+再生晶圆”的产业布局。 /p
  • “双碳”目标下再看太阳能光伏电池—硅料、硅片杂质元素分析技术
    材料是社会进步的重要物质条件,半导体产业近年来已成为材料产业中备受瞩目的焦点。从沙子到晶片直至元器件的制造和创新,都需要应用不同的表征与检测方法去了解其特殊的物理化学性能,从而为生产工艺的改进提供科学依据。仪器信息网策划了“半导体检测”专题,特别邀请到布鲁克光谱中国区总经理赵跃就此专题发表看法。布鲁克光谱中国区总经理 赵跃赵跃先生拥有超过20年科学分析仪器领域丰富的从业经历,先后服务于四家跨国企业,对于科学分析仪器以及材料研发行业具有深刻理解,促进了快速引进国外先进技术服务于中国的科研创新和产业升级。2020年9月,习近平主席在第75届联合国大会上,明确提出中国力争在2030年前实现“碳达峰”,2060年前实现“碳中和”的目标。“双碳”目标的直接指向是改变能源结构,即从主要依靠化石能源的能源体系,向零碳的风力、光伏和水电转换。加快能源结构调整,大力发展光伏等新能源是实现“碳达峰、碳中和”目标的必然选择。目前,光伏产业已成为我国少有的形成国际竞争优势、并有望率先成为高质量发展典范的战略性新兴产业,也是推动我国能源变革的重要引擎。太阳能光伏是通过光生伏特效应直接利用太阳能的绿色能源技术。2021年,全球晶硅光伏电池产能达到423.5GW,同比增长69.8%;总产量达到223.9GW,同比增长37%。中国大陆电池产能继续领跑全球,达到360.6GW,占全球产能的85.1%;总产量达到197.9GW,占全球总产量的88.4%。截止到2021年底,我国光伏装机量为3.1亿千瓦时。据全球能源互联网发展合作组织预测,到2030、2050、2060年我国光伏装机量将分别达到10、32.7、35.51亿千瓦时,到2060年光伏的装机量将是今天的10倍以上。从发电量来看,虽然其发电容量仍只占人类用电总量的很小一部分,不过,从2004年开始,接入电网的光伏发电量以年均60%的速度增长,是当前发展速度最快的能源。2021年我国光伏发电量3259亿千瓦时,同比增长25.1%,全年光伏发电量占总发电量比重达4%。预计到2030年,我国火力发电将从目前的49%下降至28%,光伏发电将上升至27%。预计2030年之后,光伏将超越火电成为所有能源发电中最重要的能源,光伏新能源作为一种可持续能源替代方式,经过几十年发展已经形成相对成熟且有竞争力的产业链。在整个光伏产业链中,上游以晶体硅原料的采集和硅棒、硅锭、硅片的加工制作为主;产业链中游是光伏电池和光伏组件的制作,包括电池片、封装EVA胶膜、玻璃、背板、接线盒、逆变器、太阳能边框及其组合而成的太阳能电池组件、安装系统支架;产业链下游则是光伏电站系统的集成和运营。硅料是光伏行业中最上游的产业,是光伏电池组件所使用硅片的原材料,其市场占有率在90%以上,而且在今后相当长一段时期也依然是光伏电池的主流材料。在2011年以前,多晶硅料制备技术一直掌握在美、德、日、韩等国外厂商手中,国内企业主要依赖进口。近几年随着国内多晶硅料厂商在技术及工艺上取得突破,国外厂商对多晶硅料的垄断局面被打破。我国多晶硅料生产能力不断提高,综合能耗不断下降,生产管理和成本控制已达全球领先水平。2021年,全球多晶硅总产量64.2万吨,其中中国多晶硅产量50.5万吨,约占全球总产品的79%。全球前十硅料生产企业中中国有7家,世界多晶硅料生产中心已移至中国,我国多晶硅料自给率大幅提升。与此同时,在多晶硅直接下游硅片生产中,因单晶硅片纯度更高,转化效率更高, 消费占比也不断走高,至 2020 年,单晶硅片占比已达 90%的水平。用于光伏生产的太阳能级多晶硅料一般纯度在6N~9N之间。无论对于上游的硅料生产,还是单晶硅片、多晶硅片生产,硅中氧含量、碳含量、III族、V族施主、受主元素含量、氮含量测量是硅材料界非常重要的课题,直接影响硅片电学性能。故准确测试上游硅料、单晶硅片中相应杂质元素含量显得尤为必要、重要。在过去的十几年中,ASTM International(前身为美国材料与试验协会)已经对上述杂质元素的定量分析方法提出了国际普遍通行的标准,其中,分子振动光谱学方法因其相对低廉的设备成本、快速、无损、高灵敏度的测试过程,以及较低的检测下限,倍受业内从事品质控制的机构和组织的青睐。值得一提的是,我国也在近几年陆续制定和出台了多个以分子振动光谱学为品控方法的相关行业标准 (见附录)。这标志着我国硅料生产与品控规范进入了更成熟、更完善、更科学、更自主的新阶段。德国布鲁克集团,作为分子振动光谱仪器领域的领军企业,几十年来坚持为工业生产和科学研究提供先进方法学的助力。由布鲁克光谱(Bruker Optics)研发制造的CryoSAS全自动、高灵敏度低温硅分析系统,基于傅立叶变换红外光谱技术,专为工业环境使用而设计。顺应ASTM及我国相关标准中的测试要求,此系统可以室温和低温下(<15K)工作,通过测试中/远红外波段(1250-250cm-1)硅单晶红外吸收光谱(此波段红外吸光光谱涵盖了硅晶体中间隙氧,代位碳,III-V族施主、受主元素以及氮氧复合体吸收谱带。),可以直接或间接计算出相应杂质元素含量值。检测下限可低至ppta(施主,受主杂质)和ppba量级(代位碳,间隙氧),很好地满足了上游硅料品控的要求,为中游光伏电池和光伏组件的制作打下了扎实的原料品质基础。随着硅晶原料产能的逐年提高,布鲁克公司的 CryoSAS仪器作为光伏产业链上游的重要品控工具之一,已在全球硅料制造业中达到了极高的保有量。随着需求的提升,电子级硅的生产需求也在持续增加。布鲁克公司红外光谱技术也有成熟的方案和设备,目前国内已有多个用户采用并取得了良好的效果。低温下(~12 K),硅中碳测试结果(上图),硅中硼、磷测试结果(下图)附录:产品国家标准:《GB/T 25074 太阳能级多晶硅》《GB/T 25076 太阳能电池用硅单晶》测试方法国家标准:《GB/T 1557 硅晶体中间隙氧含量的红外吸收测量方法》《GB/T 1558 硅中代位碳原子含量红外吸收测量方法》《GB/T 35306 硅单晶中碳、氧含量的测定 低温傅立叶变换红外光谱法》《GB/T 24581 硅单晶中III、V族杂质含量的测定 低温傅立叶变换红外光谱法》(布鲁克光谱 供稿)
  • 收入超120亿美元,2021年全球硅片出货量及收入创历史新高
    SEMI 硅制造商集团(SMG)在其对硅片行业的年终分析中报告称,2021年全球硅片出货量增长了14%,而硅片收入与2020年相比增长了13%,超过120亿美元,达到历史新高。 硅片出货量总计为141.65亿平方英寸(MSI),而2020年同期为12407 MSI,以满足对半导体器件和各种应用的激增的广泛需求。300mm、200mm和150mm晶圆尺寸都表现出强劲的需求。晶圆收入达到126.17亿美元,超过了2007年创下的121.29亿美元的纪录。"硅片领域出货量和收入的强劲同比增长反映了现代经济对硅片的严重依赖,"即将离任的SEMI SMG 2018-2021主席兼Shin Etsu Handotai America产品开发和应用工程副总裁Neil Weaver说。"晶圆是数字化转型和新技术的引擎,这些新技术正在重塑我们的生活和工作方式。本新闻稿中引用的所有数据包括抛光硅晶圆,如原始测试晶圆和外延硅晶圆,以及运往最终用户的非抛光硅晶圆。硅晶圆是大多数半导体的基本建筑材料,是所有电子设备的重要组成部分。高度工程化的薄盘直径可达12英寸,可用作制造大多数半导体器件或芯片的基板材料。
  • 涉及半导体大硅片、功率器件等,宜兴市多项目迎来新进展
    中环领先集成电路用大直径硅片项目总投资30亿美元的中环领先集成电路用大直径硅片项目一期已经投产,二期部分投产,全部达产后将形成年产8英寸硅片900万片、12英寸硅片420万片的产能。据不完全统计,“中环系”已在宜兴市投资约500亿元。中车中低压功率器件产业化项目一期今年3月开工的总投资59亿元的中车中低压功率器件产业化项目一期,目前正在加快推进建设,计划年内主体工程封顶,预计2024年底投产。该项目产品主要用于新能源汽车领域,达产后可新增年产36万片中低压组件基材的生产能力,满足每年300万台新能源汽车或300GW新能源发电装机需求。无锡海容电子超级陶瓷电容器与智能传感器制造项目总投资103亿元的无锡海容电子超级陶瓷电容器与智能传感器制造项目厂房已经封顶,预计年内一期竣工投产。去年4月,无锡海容电子超级陶瓷电容器与智能传感器制造项目开工仪式在无锡宜兴举行。该项目建成投产后,将年产4000亿只高压高容多层片式电容器、200万只氮氧传感器、1亿只汽车传感器等。
  • 山东有研艾斯12英寸硅片产业化项目开始设备搬入
    6月12日,山东有研艾斯“12英寸集成电路用大硅片产业化项目”首台设备顺利搬入德州新厂房,12英寸项目厂房建设基本完成,开始进入设备搬入及工艺调试阶段。据官方介绍,该是中国有研、RST株式会社及有研硅布局建设的重点项目,项目在北京建有研发中心及中试线。目前出货量达到4万片/月。项目建设于2021年底启动,项目组严格执行计划进度,顺利完成厂房建设,按计划开始设备搬入及工艺调试,预计2023年10月完成全部设备搬入实现量产。据“德州天衢新区”今年4月底消息,该项目总投资62亿元,第一阶段投资25亿元。项目第一阶段于2022年6月开工建设,预计今年10月份通线量产。两阶段投资完成并达产后可形成年产360万片12英寸硅片的产能,全国市场占有率达20%。项目建成后,德州将成为北方最大的半导体硅材料产业基地,解决我国12英寸硅片长期“卡脖子"难题。
  • 一分钟快速了解硅片沾污检测技术与仪器
    p style=" text-indent: 2em text-align: justify " 半导体器件生产中硅片须经严格清洗。微量污染也会导致器件失效。硅片是从硅棒上切割下来的晶片表明的多层晶格处于被破坏的状态,布满了不饱和的悬挂键,悬挂键的活性非常高,十分容易吸附外界的杂质粒子,导致硅片表面被污染且性能变差。清洗的目的在于清除表面污染杂质,包括有机物和无机物。这些杂质会导致各种缺陷。 /p p style=" text-indent: 2em text-align: justify " 随着大规模集成电路的发展,集成度不断提高,线宽不断减小,抛光片表面的颗粒和金属杂质沾污对器件的质量和成品率影响越来越严重。对于线宽为 span 0.35 /span μ span m /span 的 span 64 /span 兆 span DRAM /span 器件,影响电路的临界颗粒尺寸为 span 0.06 /span μ span m /span ,抛光片的表明金属杂质沾污全部小于 span 5 /span × span 10 sup 16 /sup at/cm sup 2 /sup /span ,抛光片表面大于 span 0.2 /span μ span m /span 的颗粒数应小于 span 20 /span 个 span / /span 片。因此对硅片的质量要求也越来越高,特别是对硅抛光片的质量要求越来越严,对硅片沾污的检测便显得尤为关键。 /p p style=" text-indent: 2em text-align: justify " 以下为硅片沾污检测技术与仪器概览: /p table border=" 1" cellspacing=" 0" cellpadding=" 0" style=" border-collapse:collapse border:none" align=" center" tbody tr class=" firstRow" td width=" 56" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 沾污种类 /p /td td width=" 113" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 杂质成分 /p /td td width=" 156" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 沾污危害 /p /td td width=" 118" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 检测技术或仪器 /p /td td width=" 110" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 主要厂商 /p /td /tr tr td width=" 56" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 颗粒沾污 /p /td td width=" 113" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 聚合物、光致抗蚀剂等 /p /td td width=" 156" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 图形缺陷、离子注入不良、 span MOS /span 晶体管特性不稳定 /p /td td width=" 118" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px word-break: break-all " align=" center" p 硅片颗粒检测设备 /p /td td width=" 110" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p span KLA /span 等 /p /td /tr tr td width=" 56" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 有机沾污 /p /td td width=" 113" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 人的皮肤油脂、防锈油、润滑油、蜡、光刻胶等 /p /td td width=" 156" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 栅极氧化膜耐压不良、 span CVD /span 膜厚产生偏差热、氧化膜产生偏差 /p /td td width=" 118" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px word-break: break-all " align=" center" p 热解吸质谱, a href=" https://www.instrument.com.cn/zc/70.html" target=" _self" span X /span 射线光电子能谱 /a , a href=" https://www.instrument.com.cn/zc/519.html" target=" _self" 俄歇电子能谱 /a /p /td td width=" 110" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 岛津、 span style=" color:#444444" ThermoFisher /span span style=" color:#444444" 、恒久等 /span /p /td /tr tr td width=" 56" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 金属沾污 /p /td td width=" 113" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 电化学沉积、氢氧化物析出物、膜夹杂物 /p /td td width=" 156" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 栅极氧化膜耐压劣化、 span PN /span 结逆方向漏电流增大、绝缘膜耐压不良、少数载流子寿命缩短 /p /td td width=" 118" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px word-break: break-all " align=" center" p a href=" https://www.instrument.com.cn/zc/293.html" target=" _self" 电感耦合等离子体质谱仪 /a /p /td td width=" 110" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 安捷伦、赛默飞等 /p /td /tr /tbody /table p style=" text-indent: 2em text-align: justify " span style=" text-indent: 28px " 国家也出台了多个相关国家标准。 /span br/ /p table border=" 1" cellspacing=" 0" cellpadding=" 0" style=" border-collapse:collapse border:none" tbody tr class=" firstRow" td width=" 184" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 标准号 /p /td td width=" 369" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 标准名称 /p /td /tr tr td width=" 184" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p span GB/T 24578-2015 /span /p /td td width=" 369" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 《硅片表面金属沾污的全反射 span X /span 光荧光光谱测试方法》 /p /td /tr tr td width=" 184" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p span GB/T 24580-2009 /span /p /td td width=" 369" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 《重掺 span n /span 型硅衬底中硼沾污的二次离子质谱检测方法》 /p /td /tr tr td width=" 184" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p span GB/T 30701-2014 /span /p /td td width=" 369" valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " align=" center" p 《表面化学分析 硅片工作标准样品表面元素的化学收集方法和全反射 span X /span 射线荧光光谱法 span (TXRF) /span 测定》 /p /td /tr /tbody /table p span & nbsp /span /p p br/ /p
  • 总投资7.41亿元,山东有研刻蚀设备用硅材料及硅片扩产项目开工
    据德州天衢新区官微消息,近日,山东有研刻蚀设备用硅材料及硅片扩产项目开工仪式正式举行,总投资7.41亿元。据悉,本次开工的刻蚀设备用硅材料及硅片扩产项目是有研半导体硅材料股份公司的上市募投项目。其中,刻蚀设备用硅材料项目总投资3.57亿元,主要生产大尺寸单晶硅部件加工品,为集成电路刻蚀设备所用的硅部件,项目达产后年新增硅材料204吨,年实现营业收入3.9亿元;8英寸硅片扩产项目总投资3.84亿元,产品为集成电路用直径8英寸硅抛光片,全部达产后新增8英寸硅片产品120万片/年的生产能力,年均营业收入2.4亿元。资料显示,山东有研是半导体材料生产龙头企业,目前生产的产品广泛应用于集成电路、功率器件等多个领域,重点满足我国物联网、汽车电子、工业制造、手机摄像头等领域需求。
  • 精测电子:公司半导体硅片应力测量设备已取得客户订单
    近日,精测电子在接受机构调研时表示,目前公司子公司上海精测主要聚焦半导体前道检测设备领域,致力于半导体前道量测检测设备的研发及生产。上海精测膜厚产品(含独立式膜厚设备)、电子束量测设备已取得国内一线客户的批量订单;明场光学缺陷检测设备已取得突破性订单;OCD设备获得多家一线客户的验证通过,且已取得部分订单;半导体硅片应力测量设备也取得客户订单,其余储备的产品目前正处于研发、认证以及拓展的过程中。精测电子认为,随着电动汽车产业、大数据及人工智能的快速发展,对芯片产出的需求量与日俱增,国内对半导体设备需求强烈,后续仍将有比较长的持续增长周期;同时,公司所处的半导体检测设备领域,特别是前道量测领域,生产线的国产设备供给率较低,公司的主力产品已得到诸多一线客户认可,并取得良好的市场口碑,同时公司还在加紧其余核心产品的研发、认证以及拓展,因此公司对未来在半导体领域的销售增长保持积极乐观态度。对于公司显示、半导体、新能源领域业务情况,精测电子表示,在显示测试领域,公司不断突破创新,积极调整产品结构,加大了面板中、前道制程设备、关键核心器件以及Micro-LED、Mini-LED等新型显示产品研究开发力度,同时公司也不断优化客户结构,由原来集中大客户攻关逐步转变为大客户战略合作伙伴,增加海外客户的拓展力度。公司在AR/ VR/MR等头显设备配套检测领域取得较大进展,来自于国际头部战略客户相关订单持续增加。未来公司将继续加大在新型显示领域的研发力度,进一步加大与国内外头部战略客户的合作关系。在半导体领域,随着公司研发投入进入收获期,无论是技术,还是产品、市场方面均取得了重大进展,产品成熟度以及市场对公司产品的认可度不断提升,订单快速增长。2022年1-9月公司在整个半导体板块实现销售收入11,222.59万元,较上年同比增长43.71%。在新能源领域,精测电子控股子公司常州精测新能源技术有限公司(以下简称“常州精测”)作为基石投资者参与中创新航科技股份有限公司(以下简称“中创新航”)港股发行,进一步巩固、深化双方战略合作关系,发挥双方在资源整合、技术支持、业务协同等方面的优势,进一步推进双方在锂电设备领域开展深度合作。此外,精测电子正积极开拓与国内其他知名电池厂商的合作关系。2022年1-9月公司在新能源领域实现销售收入22,275.68万元,较上年同比增长502.46%。半导体和新能源领域销售收入和毛利率持续增长,成为公司新的业绩增长点。公司及核心管理成员对未来的发展充满信心。
  • 半导体硅片检测标准汇总 涉气相色谱、二次离子质谱等多类仪器
    p    span 硅基半导体材料是目前产量最大、应用最广的半导体材料,90%以上的半导体产品都离不开硅片。 /span span 硅片行业是资金和技术密集型行业,垄断度极高,目前前四厂商市场占有率占比超过80%,分别是 /span span 日本信越、日本SUMCO、台湾环球晶圆、德国世创。 /span /p p   硅元素是地壳中储量最丰富的元素之一,以二氧化硅和硅酸盐的形式大量存在于沙子、岩石、矿物中。硅从原料转变为半导体硅片要经过复杂的过程:首先硅原料和碳源在高温下获得纯度约98%的冶金级硅,再经氯化、蒸馏和化学还原生成纯度高达99.999999999%的电子级多晶硅。半导体材料的电学特性对杂质浓度非常敏感,而硅自身的导电性不佳,常通过掺杂硼、磷、砷和锑来精确控制其电阻率。一般,将掺杂后的多晶硅加热至熔点,然后用确定晶向的单晶硅接触其表面,以直拉生长法生长出硅锭,硅锭经过金刚石切割、研磨、刻蚀、清洗、倒角、抛光等工艺,即加工成为半导体硅片。根据制造工艺分类,半导体硅片主要可以分为抛光片、外延片、SOI 硅片等。根据半导体尺寸分类,半导体硅片的尺寸(直径)主要有 50mm(2 英寸)、75mm(3 英寸)、100mm(4 英寸)、150mm(6 英寸)、200mm(8 英寸)、 300mm(12英寸)等规格。目前硅片生产以8英寸和12英寸为主,其中8英寸硅片主要应用于电子、通信、计算、工业、汽车等领域,而12英寸硅片多用于PC、平板、手机等领域。 /p p   在生产环节中,半导体硅片需要尽可能地减少晶体缺陷,保持极高的平整度与表面洁净度,以保证集成电路或半导体器件的可靠性。硅片检测要检查直径、厚度、弯曲、翘曲、缺陷、晶面、表面污染(有机物)、电阻率、晶面取向、氧碳含量、表面平整度和粗糙度、微量元素含量、反射率等。使用到的仪器有测厚仪、显微镜、XRD、气相色谱、X射线荧光光谱、二次离子质谱、电阻率测试仪等。 /p p style=" text-align: center " strong 硅片测试国家标准 /strong /p table border=" 1" cellspacing=" 0" cellpadding=" 0" style=" border-collapse:collapse border:none" align=" center" tbody tr style=" height:18px" class=" firstRow" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p strong span style=" font-family:宋体" 标准编号 /span /strong /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p strong span style=" font-family:宋体" 标准名称 /span /strong /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T11073-2007 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片径向电阻率变化的测量方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T13388-2009 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片参考面结晶学取向 /span span X /span span style=" font-family:宋体" 射线测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T14140-2009 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片直径测量方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T19444-2004 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片氧沉淀特性的测定 /span span - /span span style=" font-family:宋体" 间隙氧含量减少法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T19922-2005 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片局部平整度非接触式标准测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T24577-2009 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 热解吸气相色谱法测定硅片表面的有机污染物 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T24578-2015 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片表面金属沾污的全反射 /span span X /span span style=" font-family:宋体" 光荧光光谱测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T26067-2010 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片切口尺寸测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T26068-2018 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片和硅锭载流子复合寿命的测试非接触微波反射光电导衰减法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T29055-2019 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 太阳能电池用多晶硅片 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T29505-2013 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片平坦表面的表面粗糙度测量方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T30701-2014 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 表面化学分析硅片工作标准样品表面元素的化学收集方法和全反射 /span span X /span span style=" font-family:宋体" 射线荧光光谱法 /span span (TXRF) /span span style=" font-family:宋体" 测定 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T30859-2014 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 太阳能电池用硅片翘曲度和波纹度测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T30860-2014 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 太阳能电池用硅片表面粗糙度及切割线痕测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T30869-2014 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 太阳能电池用硅片厚度及总厚度变化测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T32280-2015 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片翘曲度测试自动非接触扫描法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T32281-2015 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 太阳能级硅片和硅料中氧、碳、硼和磷量的测定二次离子质谱法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T32814-2016 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅基 /span span MEMS /span span style=" font-family:宋体" 制造技术基于 /span span SOI /span span style=" font-family:宋体" 硅片的 /span span MEMS /span span style=" font-family:宋体" 工艺规范 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T37051-2018 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 太阳能级多晶硅锭、硅片晶体缺陷密度测定方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T6616-2009 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 半导体硅片电阻率及硅薄膜薄层电阻测试方法非接触涡流法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T6617-2009 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片电阻率测定扩展电阻探针法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T6618-2009 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片厚度和总厚度变化测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T6619-2009 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片弯曲度测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T6620-2009 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片翘曲度非接触式测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T6621-2009 /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片表面平整度测试方法 /span /p /td /tr tr style=" height:18px" td width=" 112" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span GB/T29507-2013& nbsp & nbsp /span /p /td td width=" 456" nowrap=" " valign=" middle" style=" border: 1px solid rgb(0, 0, 0) padding: 5px " height=" 18" align=" center" p span style=" font-family:宋体" 硅片平整度、厚度及总厚度变化测试自动非接触扫描法 /span /p /td /tr /tbody /table p   据 Gartner 预计,2017-2022 年半导体增速最快的应用领域是工业电子和汽车电子;预计2020年半导体发货总量将超过一万亿,其中增长率最高的半导体细分领域包括智能手机、汽车电子以及人工智能等。 /p p   需要相关标准,请到 a href=" https://www.instrument.com.cn/download/L_5DBC98DCC983A70728BD082D1A47546E.htm" target=" _self" 仪器信息网资料中心 /a 查找。 /p
  • 信越化学:半导体硅片需求触底,12英寸产品出货逐步增加
    全球PVC(聚氯乙烯)及半导体硅片龙头大厂信越化学(Shin-Etsu Chemical)在7月26日日本股市盘后公布了2024年二季度财报,整体业绩优于公司此前预期,营业利润6个季度来首度恢复增长。信越化学表示,半导体硅片市场终于触底,12英寸产品出货量在AI需求推动下,将自7-9月以后逐步增加。财报显示,信越化学二季度虽然PVC销售萎缩,但半导体硅片等半导体材料销售增长,合并营收较去年同期下滑0.2%至5,979亿日元;合并营业利润同比增长0.1%至1,910亿日元,这也是近6个季度来首度呈现增长;合并净利润同比下滑6.3%至1,440亿日元,连续第6个季度陷入萎缩。不过,与信越化学上季对于营业利润(1,650亿日元)、净利润(1,200亿日元)的预期相比,最终的二季度业绩均由于预期。从各主要业务来看,二季度信越化学以PVC为核心的“生活环境基础材料(还包含苛性钠等产品)”业务营收较去年同期减少3.3%至2,325亿日元、营业利润下滑15.2%至679亿日元。信越化学指出,来自中国PVC厂商的出口压力持续,不过以北美为中心、调涨了PVC价格。二季度信越化学以半导体硅片为核心的“电子材料(还包含稀土磁铁、光刻胶、合成石英等)”业务营收成长3.0%至2,270亿日元,营也利润增长11.8%至895亿日元,获利金额居所有之首。信越化学指出,在半导体市场上,自2022年秋天以后开始的调整局面已大致触底,复苏情况依用途、领域而有所差异。在此种情况下,致力于照原定计划出货半导体硅片、光刻胶等半导体材料。信越化学董事轰正彦表示,“硅晶圆需求终于触底”,预估12英寸产品出货量在AI需求带动下、“将自7- 9月以后逐步增加”。信越化学此前公布的2024年财年(2024年4月-2025年3月)财测预估,合并营收将年增3.5%至2.5万亿日元、合并营业利润将年增4.8%至7,350亿日元、合并净利润将年增2.5%至5,330亿日元。根据Yahoo Finance的报价显示,于美国挂牌的信越化学ADR 26日飙涨6.82%,收于21.45美元/股。
  • 芯片上“长”出原子级薄晶体管
    美国麻省理工学院一个跨学科团队开发出一种低温生长工艺,可直接在硅芯片上有效且高效地“生长”二维(2D)过渡金属二硫化物(TMD)材料层,以实现更密集的集成。这项技术可能会让芯片密度更高、功能更强大。相关论文发表在最新一期《自然纳米技术》杂志上。这项技术绕过了之前与高温和材料传输缺陷相关的问题,缩短了生长时间,并允许在较大的8英寸晶圆上形成均匀的层,这使其成为商业应用的理想选择。新兴的人工智能应用,如产生人类语言的聊天机器人,需要更密集、更强大的计算机芯片。但半导体芯片传统上是用块状材料制造的,这种材料是方形的三维(3D)结构,因此堆叠多层晶体管以实现更密集的集成非常困难。然而,由超薄2D材料制成的晶体管,每个只有大约三个原子的厚度,堆叠起来可制造更强大的芯片。让2D材料直接在硅片上生长是一个重大挑战,因为这一过程通常需要大约600℃的高温,而硅晶体管和电路在加热到400℃以上时可能会损坏。新开发的低温生长过程则不会损坏芯片。过去,研究人员在其他地方培育2D材料后,再将它们转移到芯片或晶片上。这往往会导致缺陷,影响最终器件和电路的性能。此外,在晶片规模上顺利转移材料也极其困难。相比之下,这种新工艺可在8英寸晶片上生长出一层光滑、高度均匀的层。这项新技术还能显著减少“种植”这些材料所需的时间。以前的方法需要一天多的时间才能生长出一层2D材料,而新方法可在不到一小时内在8英寸晶片上生长出均匀的TMD材料层。研究人员表示,他们所做的就像建造一座多层建筑。传统情况下,只有一层楼无法容纳很多人。但有了更多楼层,这座建筑将容纳更多的人。得益于他们正在研究的异质集成,有了硅作为第一层,他们就可在顶部直接集成许多层的2D材料。
  • 上海微系统所在300mm大硅片晶体生长的数值模拟研究方面取得重要进展
    300mm大硅片是集成电路制造不可或缺的基础材料,对整个集成电路产业的发展起着关键支撑作用。针对我国集成电路制造行业对低氧高阻、近零缺陷等硅片产品的迫切需求,亟需解决大直径、高质量硅单晶晶体生长技术中的氧杂质输运、晶体缺陷调控等基础科学问题,进而开发大直径单晶晶体生长技术,实现特定的晶体杂质、缺陷的人工调控,满足射频、存储等领域的应用需求。   近日,中科院微系统所魏星研究员团队,在300mm晶体生长的数值模拟研究领域取得重要进展。该团队自主开发了耦合横向磁场的三维晶体生长传热传质模型,并首次揭示了晶体感应电流对硅熔体内对流和传热传质的影响机制,相关成果于2023年05月以 “Effects of induced current in crystal on melt flow and melt-crystal interface during industrial 300 mm Czochralski silicon crystal growth with transverse magnetic field”为题,发表在美国化学会旗下晶体学领域的旗舰期刊《Crystal growth & design》上。   在本工作中,通过对比三组仿真结果,系统的分析了晶体电导率、磁场强度、晶转速率这三个关键参数对晶体内感应电流的影响,进而分析了其对熔体对流、温度分布和界面形状的影响。结合实验数据,模型准确性得以验证,并预测了建模所需的合理的晶体电导率。研究结果表明,当晶体中感应电流增加时,界面下强制对流的驱动力逐渐从离心力转变为洛伦兹力,并改变强制对流的旋转方向,从而影响固液界面形状。这项研究弥补了传统模型的忽略晶体感应电流的不足,首次系统地揭示了晶转引起的感应电流以及关键工艺参数对传热传质、固液界面等的影响,大大提高了仿真结果的准确性,为近零缺陷硅片产品晶体生长技术的优化提供了理论支撑。   中科院上海微系统所陈松松助理研究员为文章的第一作者,魏星研究员为通讯作者。 中国科学院上海微系统与信息技术研究所原名中国科学院上海冶金研究所,前身是成立于1928年的国立中央研究院工程研究所,是中国最早的工学研究机构之一。中国科学院上海微系统与信息技术研究所学科领域为:电子科学与技术、信息与通信工程;学科方向为微小卫星、无线传感网络、未来移动通信、微系统技术、信息功能材料与器件。图 1 模型示意图2 (a)晶体感应电流,(b)强制对流驱动力示意图和熔体自由液面温场、流场分布图
  • 莱伯泰科《硅片表面金属离子国产检测仪器首创项目》 获中国检验检测学会科学技术奖
    近期,“2023年度中国检验检测学会科学技术奖”获奖名单公布,北京莱伯泰科仪器股份有限公司(简称“莱伯泰科”)凭借其《硅片表面金属离子国产检测仪器首创项目》荣获科学技术进步奖二等奖。该奖项由中国检验检测学会设立,旨在表彰那些在检验检测科学技术领域或相关领域,通过技术发明、科技进步、国际科技合作等活动,对推动检验检测科学发展做出显著贡献的组织和个人。莱伯泰科于2021年5月和2023年3月分别推出了自主研发的LabMS 3000电感耦合等离子体质谱仪和LabMS 5000电感耦合等离子体串联质谱仪,其技术成熟度与产品可靠性已经满足国内集成电路制造企业对28nm以上制程硅片表面金属离子检测的需求,并已成功应用于半导体晶圆制造企业,在半导体行业有了巨大突破。莱伯泰科此次获奖的《硅片表面金属离子国产检测仪器首创项目》成功解决了国产仪器在此领域的技术空白,有望打破国外技术的长期垄断。该项目依托先进的ICP离子源技术、加强的离子传输系统和基于CAN总线的电控系统,实现了仪器的高效稳定运行及精准检测,满足了半导体硅片行业对极低检出限的严苛要求。凭借在电感耦合等离子体质谱技术领域的丰富创新经验,莱伯泰科一直致力于为半导体行业提供更加精准、高效的解决方案。今天的荣誉标志着莱伯泰科在科技创新道路上达到了新的里程碑。未来,莱伯泰科将继续专注于高端科研设备的研发,努力推动科学仪器技术的持续进步,为行业的发展贡献自己的智慧和力量。电感耦合等离子体质谱仪LabMS 3000 ICP-MS&bull 强大:集成型高基质进样系统,支持在线氩气稀释和有机样品加氧除碳,从而减少样品前处理时间并避免此过程中引入的各种污染&bull 精准:新一代碰撞反应池技术,消除棘手的多原子离子和双电荷离子干扰,提升数据质量&bull 安全:具有多重安全防控以及定时维护日志,确保仪器在安全、可靠的状态下运行,尽量减少计划外的停机和提供安全保护&bull 智能:HiMass智能工作站,中英文语言实时切换,支持接入实验室管理系统和定制报告模版,向导式设计更符合中国人操作习惯&bull 高效:与LabTech前处理设备无缝衔接实现一站式元素分析解决方案,使元素分析更高效、更准确、更安全LabMS 5000 电感耦合等离子体串联质谱仪(ICP-MS/MS)精准:MS/MS模式实现受控且可靠的干扰去除,精准去除质量干扰离子,从而获得更低的检测限和准确的超痕量分析结果。稳定:采用工业标准27.12MHz 全固态RF发生器,具有高稳定性及可靠性;优异的离子传输系统设计即使在MS/MS模式下也具有良好的检测稳定性。可靠:通过 SEMI S2 认证,多达十重安全防护配置,带来全面可靠的安全防护,保证仪器长时间安全可靠运行。强大:全基体进样系统结合接口设计及加强离子传输系统,带来强大的基体耐受性,即使高基体直接进样也可有效降低信号漂移。易用:HiMass智能工作站,一键式,向导式、模块化设计,界面简洁直观,易学易用,提高工作效率。
  • 存储器和高能激光芯片设备有新突破!
    近日,《nature》杂志更新了两则最新研究,明尼苏达大学团队研究出计算随机存取存储器CRAM,可以极大地减少人工智能(AI)处理所需的能量消耗;斯坦福大学的研究人员则在芯片上设计开发出一台微型的钛蓝宝石 (Ti:Sa) 激光器,可用于未来的量子计算机、神经科学等领域。明尼苏达大学研究出计算随机存取存储器CRAM近期,《nature》杂志的同行评议科学期刊《npj Unconventional Computing》发布了一项名为计算随机存取存储器(Computational Random-Access Memory, CRAM)的最新研究,该新技术能够极大地减少人工智能(AI)处理所需的能量消耗。图片来源:《nature》截图据悉,这项技术由明尼苏达大学双城分校的一组工程研究人员开发,该校电气与计算机工程系博士后研究员、论文第一作者杨吕表示,这项工作是 CRAM 的首次实验演示,其中数据可以完全在存储器阵列内处理,而无需离开计算机存储信息的网格。国际能源署(IEA)于2024年3月发布了全球能源使用预测,预测人工智能的能源消耗可能会从2022年的460太瓦时(TWh)增加一倍至2026年的1,000 TWh。这大致相当于日本整个国家的电力消耗。目前,随着人工智能应用需求的不断增长,许多研究人员一直在寻找方法来创建更节能的流程,同时保持高性能和低成本。通常机器或人工智能流程在逻辑和内存之间传输数据会消耗大量的电力和能源。据悉,这项研究已经进行了二十多年,其最早可以追溯到电气与计算机工程系教授王建平在使用MTJ(磁隧道结)纳米设备进行计算方面的开创性工作。“我们20年前直接使用存储单元进行计算的最初想法被认为是疯狂的”,该论文的资深作者、明尼苏达大学电气与计算机工程系杰出 McKnight 教授兼 Robert F. Hartmann主席王建平 (Jian-Ping Wang) 表示。2022年1月3日,明尼苏达大学理工学院宣布,明大“Distinguished McKnight University Professor”王建平博士当选美国国家发明家科学院(National Academy of Inventors - NAI)院士。MTJ器件是一种纳米结构器件,这是一种利用磁性材料实现存储的新兴技术。在王建平的专利 MTJ研究的基础上,这个团队开发出了磁性RAM (MRAM),目前这种技术已用于智能手表和其他嵌入式系统。在CRAM中,MTJ不仅仅用于存储数据,还被用来执行计算任务。通过精确控制MTJ的状态,可以实现诸如AND、OR、NAND、NOR和多数逻辑运算等基本逻辑操作。CRAM技术采用了高密度、可重构的自旋电子(spintronic)计算基底,直接嵌入到内存单元中。与三星的PIM技术相比,CRAM技术使数据无需离开内存即可进行处理,消除了数据在内存单元与处理单元之间的长距离传输。CRAM通过消除数据在内存和处理单元之间的移动,显著降低了能耗。此外,由于CRAM的计算直接发生在内存中,它还提供了更好的随机访问能力、可重构性以及大规模并行处理能力。CRAM 架构实现了真正的在内存中进行计算,打破了传统冯诺依曼架构中计算与内存之间的瓶颈——冯诺依曼架构是一种存储程序计算机的理论设计,是几乎所有现代计算机的基础。CRAM技术展现了巨大的潜力,尤其是在机器学习、生物信息学、图像处理、信号处理、神经网络和边缘计算等领域。例如,一项基于CRAM的机器学习推理加速器的研究表明,它在能量延迟乘积方面的性能比现有技术提高了大约1000倍。此外,CRAM在执行MNIST手写数字分类任务时,能耗和时间分别降低了2500倍和1700倍。当下CRAM技术展现出巨大的潜力,但其真实计算能力的局限在于连续CRAM数组内部。任何需要跨越不同CRAM数组的数据访问和计算都会增加额外的数据移动开销。未来,研究人员仍需应对可扩展性、制造和与现有硅片集成方面的挑战。他们已计划与半导体行业领导者进行演示合作,以帮助将CRAM变成商业现实。高能激光芯片设备研究有新突破!近日,斯坦福大学的研究人员在芯片上设计开发出一台微型的钛蓝宝石 (Ti:Sa) 激光器,相关研究已于6月26日更新在《nature》杂志上。原型机的体积仅为传统传统钛宝石激光器的万分之一,而生产成本也仅有原来的千分之一。总体而言,新设备同时解决了体积大、价格高等挑战,而且在规模效率方面也具有优势。目前传统激光器成本高达10万美元。但科学家认为,采用杂志上提及的最新方法,每台激光器的成本可能会降至100美元。他们还声称,未来可以在一块四英寸晶圆上安装数千台激光器,而每台激光器的成本将降至最低。这些小型激光器可用于未来的量子计算机、神经科学,甚至微观手术。图片来源:《nature》截图实验性激光依赖于两个关键过程。首先,他们将蓝宝石晶体研磨成厚度仅为几百纳米的一层。然后,他们制作出一个由微小脊线组成的旋涡,并用绿色激光笔照射其中。随着旋涡的每次旋转,激光的强度都会增加。“最棘手的部分之一是平台的生产,”这项研究的共同第一作者、斯坦福大学博士生Joshua Yang告诉《生活科学》。“蓝宝石是一种非常坚硬的材料。当你研磨它时,它常常不喜欢它,它会破裂,或者损坏你用来研磨的东西。”激光的强度通过晶体表面的一系列涡流增加(图源:Joshua Lang 等人,《自然》杂志)该学术团队对这项技术十分看好,主要原因在于这台最新激光器可以调节到不同的波长;具体来说,从 700 到 1,000 纳米,或从红光到红外光。杨教授以固态量子比特为例,指出这对于原子研究人员来说至关重要。“这些原子系统需要不同的能量(才能从一种状态过渡到另一种状态),”他说。“如果你购买的激光器增益带宽较小,而另一种过渡超出了该带宽,那么你就必须购买另一种激光器来解决该问题。”目前, Joshua Yang和他的同事已创建了一家名为Brightlight Photonics 的公司,以实现这项技术商业化。
  • 基因芯片及其最新进展
    注:最新的测序技术会实现全自动化、实时化、微型化,虽然与传统的芯片技术并不相同,但其理念有共通之处,所以也将其纳入&ldquo 芯片&rdquo 范畴。&mdash &mdash 彭雷   80年代中期,俄罗斯科学院恩格尔哈得分子生物学研究所和美国阿贡国家实验室(ANL)的科学家们最早在文献中提出了用杂交法测定核酸序列(SBH)新技术的想法。当时用的是多聚寡核酸探针。几乎与此同时英国牛津大学生化系的Sourthern等也取得了在载体固定寡核苷酸及杂交法测序的国际专利。   基因芯片利用微电子、微机械、生物化学、分子生物学、新型材料、计算机和统计学等多学科的先进技术,实现了在生命科学研究中样品处理、检测和分析过程的连续化、集成化和微型化。   1997年世界上第一张全基因组芯片&mdash &mdash 含有6166个基因的酵母全基因组芯片在斯坦福大学Brown实验室完成,从而使基因芯片技术在世界上迅速得到应用。   基因芯片技术主要包括四个基本要点:芯片方阵的构建、样品的制备、核酸分子反应和信号的检测。1、芯片制备,先将玻璃片或硅片进行表面处理,然后使核酸片段按顺序排列在芯片上。2、样品制备,可将样品进行生物处理,获取其中的DNA、RNA,并且加以标记,以提高检测的灵敏度。3、生物分子反应,芯片上的生物分子之间的反应是芯片检测的关键一步。通过选择合适的反应条件使样品中的核酸分子与芯片上的核酸分子反应处于最佳状况中,减少错配比率。4、芯片信号检测,常用的芯片信号检测方法是将芯片置入芯片扫描仪中,通过扫描以获得有关生物信息。   基因芯片技术发展的最终目标是将从样品制备、杂交反应到信号检测的整个分析过程集成化以获得微型全分析系统(micro total analytical system)或称缩微芯片实验室(laboratory on a chip)。使用缩微芯片实验室,就可以在一个封闭的系统内以很短的时间完成从原始样品到获取所需分析结果的全套操作。   近年,基因芯片技术在疾病易感基因发现、疾病分子水平诊断、基因功能确认、多靶位同步超高通量药物筛选以及病原体检测等医学与生物学领域得到广泛应用。   一、第一代基因芯片   第一代基因芯片基片可用材料有玻片、硅片、瓷片、聚丙烯膜、硝酸纤维素膜和尼龙膜,其中以玻片最为常用。为保证探针稳定固定于载体表面,需要对载体表面进行多聚赖氨酸修饰、醛基修饰、氨基修饰、巯基修饰、琼脂糖包被或丙烯酰胺硅烷化,使载体形成具有生物特异性的亲和表面。最后将制备好的探针固定到活化基片上,目前有两种方法:原位合成和合成后微点样。根据芯片所使用的标记物不同,相应信号检测方法有放射性核素法、生物素法和荧光染料法,在以玻片为载体的芯片上目前普遍采用荧光法。   相应荧光检测装置有激光共聚焦显微镜、电荷偶合器( charge coup led devices, CCD)、激光扫描荧光显微镜和激光共聚焦扫描仪等。其中的激光共聚焦扫描仪已发展为基因芯片的配套检测系统。经过芯片扫描提取杂交信号之后,在数据分析之前,首先要扣除背景信号,进行数据检查、标化和校正,消除不同实验系统的误差。   对于简单的检测或科学实验,因所需分析基因数量少,故直接观察即可得出结论。若涉及大量基因尤其是进行表达谱分析时,就需要借助专门的分析软件,运用统计学和生物信息学知识进行深入、系统的分析,如主成分分析、分层聚类分析、判别分析和调控网络分析等。   芯片数据分析结束并不表示芯片实验的完成,由于基因芯片获取的信息量大,要对呈数量级增长的实验数据进行有效管理,需要建立起通行的数据储存和交流平台,将各实验室获得的实验结果集中起来形成共享的基因芯片数据库,以便于数据的交流及结果的评估。   典型如SuperArray公司的功能分类基因芯片:   1、引物设计   SYBR Green可与所有的双链DNA反应(包括引物二聚体),为了使扩增反应集中于目的基因,避免非特异性扩增,引物设计成为关键因素。为得到单一特异的扩增产物,避免扩增出序列相似的非特异性产物,采用BLAST或者其他比对方法,检测引物在相应物种(如人,小鼠或大鼠)全基因组中的特异性。为了保证在相同的PCR条件下(特别是统一的退火温度),不同基因均能扩增出相应的特异性产物,对引物的CG值,解链温度(Tm),以及其他化学和物理的特性都进行了优化调整。为了获得高扩增效率,对扩增片段的长度也进行了优化,一般为100到200bp,确保在统一的循环反应的时间范围内,不同基因均能扩增出完整片段。   2、反应体系   为避免非特异性扩增,使用化学修饰的热启动Taq酶,只有经过热激步骤,Taq酶才能发挥扩增活性。同时,反应体系经过优化,可最大限度减少引物二聚体形成,并且保证较难扩增的片段都得到极高的扩增效率。   3、定量结果可靠   在标准的96孔PCR反应仪中进行实时定量PCR实验,为了获得高通量,无法为每个样品单独制备标准曲线。在完全相同的PCR反应条件下,希望表达量不同的多个基因均获得可靠的结果,需要确保每个基因都有较高的扩增效率,从而可采用简单的△△Ct方法计算基因表达量。   其灵敏度高,样品的使用量低,每张芯片使用的总RNA最少可为0.5ng 可观察到的动态线性范围超过105,可以同时检测表达量差异较大的基因 Ct值的平均差异只有0.25个循环,可检测超过两倍的基因表达量变化。因此,第二代功能分类基因芯片是研究特定信号通路或者一组功能相关基因表达量的理想方法。   二、第二代基因芯片   尽管基因芯片技术已经取得了长足的发展,但仍然存在着许多难题和不足。目标分子的标记是重要的限速步骤,如何绕过这一步是人们一直期望解决的问题。其次是检测灵敏度不高,重复性差,无法检测单碱基错配的基因样品。再者,待检测的基因样品必须经过PCR扩增技术的处理以获得足够量的待检测样品,使检测过程相对复杂。我们称具备以上特征的基因芯片技术为第一代基因芯片技术,这些特征充分说明基因芯片技术本身存在着较大的发展空间。   第二代基因芯片包括如下几种:   1. 电极阵列型基因芯片:将微电极在衬底上排成阵列,通过对氧化还原指示剂的电流信号的检测实现基因序列的识别   2. 非标记荧光指示基因芯片:利用荧光分子作为杂交指示剂,在不需对靶基因进行荧光标记的前提下,通过对荧光分子的检测实现基因序列的识别   3. 量子点指示基因芯片:利用量子点作为杂交指示剂,在不需对靶基因进行荧光标记的前提下,通过对量子点的扫描实现基因序列的识别   4. 分子灯塔型基因芯片:利用探针DNA片断的发夹结构,获得单碱基突变检测的能力。   三、第三代基因芯片   目前,众多的第三代基因芯片现在也推向了市场。第三代基因芯片代表了测序的最高水平和未来走向。   1、Illumina微珠基因芯片技术   这是Illumina公司核心技术之一,博奥生物基于Illumina微珠芯片平台,推出SNP分型检测服务以及定制SNP分型检测服务。   它首先用微机电技术在光纤末端或硅片基质上蚀刻出微孔(深度约为3毫米的相同凹槽),将&ldquo 微珠池&ldquo 内的微珠&ldquo 倒&rdquo 入光纤束微孔,每个微孔恰可容纳一个微珠,在范德华力和与微孔壁间流体静力学相互作用下,微珠以&ldquo 无序自组装&rdquo 的方式在微孔内组装成芯片。每种类型的微珠平均有 30 倍左右的重复。   每一个微珠上都偶联有80万左右拷贝数的探针。每一个探针由特异的地址序列(对每种微珠进行解码,29mer)和特异序列(代表不同的检测信息,如SNP 位点序列、基因序列等)组成。用专利的解码技术对芯片上的微珠进行解码,完成对芯片微珠定位信息的收集和确认,也实现芯片生产过程中100%质控。   以四种荧光标记进行16种微珠解码为例,解码过程使用与地址序列互补的且分别标记4种荧光染料的探针进行。把标记4种荧光的不同地址序列探针进行组合,每次杂交后探针清洗下来进行下一轮杂交,通过多轮杂交达到指数型区分能力。   2、Ion Torrent半导体基因芯片   Ion Torrent半导体基因芯片是最新一代的测序技术,它的问世给测序技术的应用带来了激动人心的进展。它采用了半导体技术和简单的化学试剂进行DNA测序,而不是使用光作为媒介。在半导体芯片的微孔中固定DNA链,随后依次掺入ATCG。随着每个碱基的掺入,释放出氢离子,在它们穿过每个孔底部时能被检测到,通过对H+的检测,实时判读碱基。   Ion Torrent个人化操作基因组测序仪(PGMTM)是第一台基于半导体技术的测序仪。与其他测序技术相比,使用该项技术的测序系统更简单、更快速、及更易升级。该测序仪与其他高通量测序仪特征互补,可以迅速完成应急服务项目,缩短服务周期,增加服务效率。   3、实时单分子测序基因芯片   太平洋生物科学公司(PacBio)实时单分子测序基因芯片是直接测由DNA聚合酶将荧光标记的核苷酸掺入互补测序模板。该技术的核心是一个零点启动模式的波导(Zero-mode Wavelength,ZMW)纳米结构的密集排列, 这一排列阵可以进行单个荧光分子的光学审视。   在过去,零点启动模式波导结构被用于从大量高密度的分子中分辨出单一的荧光分子,还没有被用于大量平行分析的操作。为使之用于大量平行分析和数据输出通量(测序数据生成能力),太平洋生物科学公司开发出一种方法,能有效地将零点启动模式波导结构排到表面上,他们采用了电子束光刻技术(Electron beam Lithography)和紫外光电子束光刻技术(Ultraviolet Photo lithography) 以及高度平行的共焦成像系统, 这样可以对零点启动模式纳米结构中的荧光标记分子进行高灵敏度和高分辨率的探测,并采用了一个沉重的稳定平台来确保良好的光学聚焦效果。   4、纳米球基因芯片   全基因组学公司(Complete Genomics)的纳米球基因芯片是以杂交和连接反应为核心的。当通过杂交和连接进行测序的方法出现以后,全基因组学公司推出了新的样品处理方法和纳米阵列平台。基因组DNA首先经过超声处理,再加上一些接头,然后模板环化,酶切。最后产生大约400个碱基的环化的测序片段,每个片段内含有4个明确的接头位点。环化片段用&Phi 29聚合酶扩增2个数量级。一个环化片段所产生的扩增产物称为DNA纳米球(DAN nanoball, DNB)。纳米球被选择性地连接到六甲基二硅氮烷处理的硅芯片上。   5、纳米孔基因芯片技术   另外,还在发展中的纳米孔基因芯片技术是很有潜力的第四代技术。因为这种方法不再需要光学检测和同步的试剂洗脱过程了。   这是一种基于纳米孔(纳米洞)结构的完全不同的测序技术,单个碱基的读取可以靠测定经由纳米级别的孔洞而跨越或透过薄膜的电导率来进行。纳米孔技术可以广泛地归纳为两类:生物类和固态类。   &alpha 溶血素是一种能天然性地连接到细胞膜中继而导致细胞溶解的蛋白质,它第一个被用来做成生物纳米孔模型。第二类纳米孔是以硅及其衍生物进行机械制造而成。 使用这些合成的纳米孔可以降低在膜稳定性和蛋白定位等方面的麻烦,而这些正是牛津纳米孔公司所创立的生物纳米孔系统一直遇到的问题。   例如,Nabsys就发明了一套系统,他们以汇聚的离子束将硅片薄膜打成纳米孔,用于检测与特异性引物进行了杂交的单链DNA穿过纳米孔时的阻断电流变化。 IBM创建了一个更为复杂的系统,能有效地使DNA位移暂停,并在暂停的时候通过隧道电流检测识别每个碱基。   四、基因芯片市场分析   1、国外市场美国illumina公司一家独大   在SNP芯片研究领域,美国illumina公司毫无疑问是霸主,illumina公司凭借自己开发的GoldenGate技术和infinium专利技术一直在SNP芯片领域处于垄断地位。   illumina的全基因组表达谱芯片是目前唯一一种可以达到探针30倍重复的表达谱芯片,其他的芯片都只能达到1-8倍技术重复。因此illumina的全基因组表达谱芯片的重复性是所有芯片中最高的,其重复性R20.996,并且基于第三代基因芯片独特的微珠芯片生产工艺,芯片生产成本较低,信噪比和灵敏度都非常高,其灵敏度&le 1:250,000,芯片检测结果和qPCR相关系数R2=0.97。   因为illumina所占的市场份额越来越多,2012年6月另一基因芯片大厂家Nimblegen公司,正式宣布退出基因芯片市场。   2、国内市场刚刚起步   国内基因芯片制造水平低,相关的企业规模小、投入也少,远达不到国外的水平。所以国内的相关公司均以引进国外基因芯片,提供检测服务为主。不过,随着基因芯片的应用推广,一些公司也开始涉足基因芯片制造。   上市公司达安基因,业务以体外诊断为主,产品主要是试剂盒,但也开始涉足基因芯片制造。达安基因2013年申报的三个专利:一种用于基因检测的电路板 ZL201320244125.X 一种电化学基因芯片 ZL201320244116.0 一种基于基因芯片的检测装置 ZL201320244734.5。   而另一以基因检测服务著称的大企业华大基因,则通过收购国外公司进入基因芯片制造领域。美国上市公司Complete Genomics 公司有自己基因芯片和芯片检测设备。但该公司2011年的业绩只有2000多万美元,其基因测序服务成长大大低于预期,股票跌破发行价,最后被中国华大基因收购。   联川生物在microRNA芯片领域也小有名气,也是唯一一家国产的microRNA芯片,该芯片最大的特点就是更新速度极快,一般新的数据库发表后,第一个将芯片更新到最新版本的就是联川生物。illumina公司于2010年退出了microRNA芯片市场,因illumina公司2006年收购了高通量测序领域NO.1的Solexa公司,成为唯一一家即拥有芯片平台又拥有高通量测序平台的供应商,illumina认为在microRNA领域,高通量测序有不可比拟的技术优势,必然会取代芯片,所以于2010年停产了microRNA芯片。
  • 闲聊半导体行业和芯片人的”黑话“(中)
    上篇聊的偏粗线条,这篇会聊一些更细节的半导体“黑话”,还有就是这些半导体独立王国的“语言”和我们“正常人”说的话到底有什么关联,毕竟半导体行业不是凭空出世的,芯片人更不是“空降兵”,这个细分领域的技术和应用是有其根基和土壤的; “正常”电镜人里做冷场发射电镜CFESEM的,特别是做氦离子显微镜HIM的,都熟悉“Anneal”这个术语,指的是通过瞬间加热灯尖驱逐吸附的气体分子,增强钨单晶的活性以便于灯尖切削,俗称“重生”;半导体对“Anneal”的”黑话“称呼差别比较大叫回火,又称退火,也是对wafer进行热处理的一种手段;更广义地讲,集成电路IC工艺中所有的在氮气等不活泼气氛中进行的热处理的过程,都可以称为退火;”退火“与“重生”异曲同工,目的一是激活杂质,使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载流子,起到掺入杂质Doping的作用;二是消除损伤,离子植入Ion Implatation后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁区;由于进入底材Substrate中的离子行进中将硅原子撞离原来的晶格位置,而导致的晶体的特性改变就叫损毁;而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、时间差异来控制全部或局部的活化植入离子的功能。氧化制程中也有回火工序,主要是为了降低SiO2的晶格结构。退火方式有传统的炉Furnace退火,还有更先进的快速退火:包括脉冲激光法、连续波激光、非相干宽带频光源;如卤光灯、电弧灯、石墨加热器、红外设备等;“Furnace”在透射电镜TEM样品加热杆Heating holder上很常见,就是“坩埚”。 这里提到的离子植入Ion Implantation对熟悉FIB的“正常人“并不陌生,传统聚焦离子束利用Ga+离子束进行切割Milling、截面Sectioning、或是在气体注入系统GIS的配合下做刻蚀Etching,离子束在不同的气体配合下,可以做到选择性刻蚀Selective Etching,或增强型刻蚀Enhanced Etching;在FIB中,掺杂物Dopant对样品的掺杂Doping,我们是不希望看到的,注入到样品里的Ga+会被视为对样品的污染Contamination;而晶圆制造中的离子植入,是在原本本征的半导体里主动的植入或通过扩散的方法将其它的原子或离子掺杂进去,达到改变其电性能的方法,这里,我们是希望看到样品wafer性能发生改变的; 甚至,当一般植入的离子分布达不到要求时,还会加码,通过进炉管Furnace加高温的方式将离子进行扩散Diffusion,以达到我们对离子分布的要求,同时对离子植入造成的缺陷进行修复;这里涉及到的设备叫扩散炉Diffusion furnace;此工序就是在扩散炉管内通以饱和的杂质蒸汽,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入扩散,快速完成固态扩散需要约数亿年才能完成的任务;Diffusion虽然能较精确地选择杂质Dopant的数量,但受限于离子能量,无法将杂质驱入芯片更深(um级别)的区域了;因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除;此方法就称为驱入Drive-In;“Drive-in“在这里就不是那种能直接开车进去看电影的露天电影院了;”Drive-In”工艺中,不再加入半导体杂质总量,只将表面的杂质往半导体内更深入的推进;在驱入时,常通入一些氧气﹒因为硅氧化时,会产生一些缺陷,如空洞Vacancy;这些缺陷会有助于杂质原子的扩散速度;电镜中用到的超高真空泵-离子泵Ion Getter Pump,有和上面说的半导体的“Drive-in”手段类似,通过将杂质气体分子驱入离子泵的钛合金板,逐步达到提升真空的功效;顺便一句,半导体制程中用到的”特种气体“,”黑话“叫”特气“。 还记得”正常“电镜上熟悉的用来做表层污染物去除的Plasma cleaner等离子清洗吗?电子枪和电子光学镜筒装配前会用来轰击清洁样品表面,避免超高真空下的发气outgassing,俗称”轰击台“;晶圆制程中的这类设备就叫Arc Chamber弧光反应室,和“轰击台”原理一样,事实上设备就是利用一个直流式的电浆发生器,操作电流产生的弧光电浆来清洁抛光晶圆表面;这里的电浆就是又一句半导体“黑话”,正常人一般叫它Plasma,或等离子体;电浆Plasma是人类近代物化史上重大的发现之一,指的是一个遭受部分离子化的气体,气体里面的组成有各种带电荷的电子,离子,及不带电的分子和原子团等;电浆发生器的两金属极板上加上直流电压而产生的电浆我们就叫它直流电浆DC Plasma了; 提到电浆,就顺便说下晶圆的镀膜Deposition环节;相比较使用化学蒸气沉积CVD Chemical Vapor Deposition方法的镀膜,使用物理蒸气沉积法PVD的镀膜工艺在高制程中更常被用到;PVD制程中,脱离电浆的带正电荷离子,在暗区的电场的加速下,获得高能量,当离子与阴电极产生轰击之后,基于能量传递的原理,离子轰击除了会产生二次电子以外,还会把电极表面的原子给"打击"出来,称为sputtering溅射;电极板加直流电压称为DC Sputtering直流溅射;阴极导电材料,因为像“靶子“被电浆打击,被称为靶材Target;说到这里,我嘘了一口气;终于,半导体人也不是必须只讲自己人的”黑话“,他们跟”正常人“一样,还是能讲些”人话“的;需要溅射镀膜比较细腻的可以用磁控DC溅镀机:为了使离子在往金属靶表面移动时获得足够的能量,除了提高极板间的电压外,还必须使离子在阴极暗区内所遭受的碰撞次数降低,就必须降低溅渡的压力,越低越好,以增长离子的平均自由行程;这样一来,单位体积内的气体分子数降低,使得电浆里的离子浓度也降低,导致溅渡薄膜的沉积速率变慢,整体镀膜更加细腻;“正常”电镜实验室中,为了增加样品导电性,我们会去给样品镀一层金膜,这里的“镀金仪”就是缩小版的Fab里的PVD设备了;除了“镀金”,我们还经常会去“镀碳”;碳膜比起金膜来,可以增强样品表层导电性,但不会影响到“打能谱”,标定样品的化学元素信息;所以生物样品常用镀金,材料样品更愿意镀碳,就是这个道理; 我们利用Sputtering溅射技术镀金,镀碳的技术则为Evaporation蒸镀;Fab里的采用蒸镀技术的PVD设备,就是将蒸镀源放在坩埚Furnace里加热,当温度升高到接近蒸镀源的熔点附近时,原本处于固态的蒸镀源的蒸发能力将会特别强,利用这些被蒸发出来的原子,就可在附着在离其不远处的芯片Wafer表面上,形成薄膜式的沉积层,这种方法就是蒸镀Evaporation了;随着用以隔离之用的场氧化层FOX,CMOS电晶体,金属层及介电层等构成IC的各个结构在Chip芯片上建立之后,芯片的表面也将随之变得上下凸凹不平,致使后续制程变得更加困难;所以,传统半导体制程就开始执行芯片表面平坦化的技术了;以介电层SiO2的平坦化为例,有高温热流法、各种回蚀技术及旋涂式玻璃法;当 超大规模集成电路 VeryLarge Scale Integration- VLSI的制程推进到0.35um以下后,上面这些技术就不能满足制程需求了,故而也就产生了Chemically Mechanical Polishing化学机械研磨法CMP制程;所谓CMP就是利用在表面布满研磨颗粒的研磨垫Polishing pad,对凸凹不平的晶体表面,藉由化学助剂Reagent的辅助,以化学反应和机械式研磨等双重的加工动作,来进行其表面平坦化的处理;看到这里,您不难看出,这个半导体的CMP不就是我们“正常”实验室人经常操作的电化学抛光Electro-ChemicalPolishing制样流程吗?怎么什么进了半导体应用的门槛就非得改名,开说“黑话“呢?由于半导体芯片行业薪酬普遍高出“正常“行业一头,导致在这个行业里的从业人沾沾自喜;笔者接触过一位有幸掌握了CMP操作技术的年轻人,”下界“来做电镜售后,满脑子都是半导体厂里的条条框框,动辄fingerpointing这个那个流程不够详细规范,无法操作,责任不明等等,俨然就是一位被半导体惯坏Spoiled的巨婴,”下凡“之后连正常思考都不会了;这里例子让我知道了即使在光鲜的半导体行业里,也会培养出只知规程,而实际却”四体不勤,五谷不分“的庸才。通篇看到这里,要是您要还没说“服了You”的话,那下面我们再接着多看多学一堆半导体的”黑话“吧;一片大硅片、OR晶圆,即Wafer上有许多相同的方形小单位,这些小单位叫Die,大家在这里肯定都理解这不是那个常用动词了吧?不过学材料的同学,你们知道它“黑话“叫“晶粒“吗?这回不淡定了吧;同一片上的每个Die晶粒都是相同的构造,具有相同的功能,每个晶粒经切割封装后,就制成了一颗颗我们日常生活中常用的IC芯片了,所以每一个大晶圆片所能造出的小IC数量是很可观的;同样地,如果因制造的疏忽而产生的缺陷,往住波及到同一批次Lot里成百成千个产品;说到这里,各位看官也明白为什么晶圆厂都喜欢300mm,就是12英寸的大硅片了吧;没错,一次出货出的多,省耗材省时间,人人喜大普奔;这里再来个插科打诨,Die的复数这里“黑话“叫Dice;没错,就是我们耍的”骰子“一个词;让我们期盼这来之不易的晶圆片不会轻易地godie,好不容易划片成功的裸Die不会变态地被当骰子耍吧;Fab里最贵的三类设备,也就是晶圆制造前道工艺中的三大设备了;除了上面提到的各类“D”类Deposition沉积镀膜设备,其余两大设备就是听得耳朵都长茧子的的光刻机Photolithography“L“类设备,和光刻后的刻蚀机Etching”E“类设备了;目前海外Fab使用最广泛的光刻机就是DUV深紫外光刻Deep-UV lithography,和ASML动辄卖上亿美元一台的EUV极紫外光刻机Extreme-UV lithography了;不知不觉中,ASML和EUV似乎成了光刻机的代名词;事实上,能够在硅基上“刻”出图案的技术有多种:X射线光刻 X-ray lithography、电子束光刻 Electron beamlithography EBL、氦离子束光刻 Helium ion beam lithography、等离子体飞秒激光光刻Femtosecond Plasma Lithography FPL、纳米压痕刻Nano Imprint Lithography NIL;这么多种类的光刻技术,光刻机的供应商自然不会只有ASML一家,ASML倒确实是唯一一家既可以做EUV光刻,也能做EBL光刻的光刻机“大户”;ASML是在收购了用13260只电子束EBL跟它PK光刻老大败北的Mapper后,同时获得这项技术的;上面有提到可以说是半导体芯片行业使用频率可以排名前三的“黑话”,叫Fabless,业务里不做Fab的就叫Fabless;我们现在聊到了光刻,曝光时需要拿一片事先印好图形玻璃模板叫Mask或Reticle,放在光源与物镜之间的这一类叫做光罩Mask光刻,DUV、EUV光刻就是这类了;同理,曝光过程中不用模板辅助显影,而是光源直接接触硅片的技术就叫无光罩Maskless光刻了,带电粒子束光刻,也就是电子束、离子束一类光刻就属于这类了;“黑话”的特性是除了“道上”的同行,别人都听不懂的;这里的Maskless就又是一个“活生生”半导体的“黑话“的例子;既然提到“曝光“exposure,我们就顺便聊下半导体”盗用“摄影师的这条行话吧;早期照相机底片的感光原理在集成电路的制造过程中也适用;借助”曝光“,可以将光罩,又叫光掩模,也就是Mask上的事先定义的图形Pattern,转移到晶圆片上,借助大数值孔径Numerical Aperture NA的物镜的帮助,形成了更为精细的光阻图形;所以如果”光刻“是晶圆制造中最关键的一步的话,”曝光“便是”光刻“中那个最重要的步骤;既然重要,这里我们就多聊两句”黑话“:以使用最广的5XStepper为例,其方式就是把对紫外线UV敏感的光阻膜PR当作照相机的底片,光罩上是芯片架构师用EDA设计的各种图形,以特殊波长的光线,比如G-Line 436nm照射穿过光罩,经过物镜,又叫缩小镜或Reduction Lens后,光罩上的图形则呈5倍缩小,精确地定义在”底片“,也就是芯片上的光阻膜,又叫光刻胶或Photoresist,再经过显影Development后,即可将照到光线的正光阻膜显掉,从而就得到了我们心心念念地想要的各种精细图形,准备好为下一步的刻蚀或离子植入制程使用;因为光阻膜对于某特定波长的光线特别敏感,所以Fab厂都有黄光区,将一切照明用光源统一过滤成昏黄色,以避免泛白光源中含有对光阻有感光能力的波长成份存在,否则会发生光线污染,扰乱精细的光阻成形。就像胶片时代的照相底片一样,光刻胶 photoresist,又称光阻剂,也有正负之分;半导体的负片叫负性光刻胶negative photoresist,正片叫正性光刻胶 positive photoresist,较高制程的Fab多会用到正胶,显影时正胶会被显没,留下底下需要被刻蚀掉的硅片区域;“光刻胶“叫起来比”光阻剂“更加顺口,也算是一句标准的下凡“黑话”吧;这个关于光刻胶的“黑话”群还包括:无机光刻胶 inorganic resist、多层光刻胶 multilevel resist、电子束光刻胶 electron beam resist、X射线光刻胶 X-ray resist等分类;还有甩胶 spinning、涂胶 coating、烘胶 baking、去胶stripping、氧化去胶 removing by oxidation、等离子体去胶 removing of by plasma,等等。曝光工艺里还时不时地会听到还分接触式曝光法contact exposure、接近式曝光法 proximity exposure、还有投影式曝光法 projection exposure的说法,这是不是让刚刚觉得自己懂了点晶圆制造的半导体小白,只瞅了一眼半导体的世界,一下子又跌回了“深渊“呢?半导体“黑话“这次就先聊止于此,下篇是Save the best to the last,最精彩的那一集大结局;敬请期待。
  • 岛津积极参与SNEC光伏大会暨(上海)展览会
    2011年2月22日-24日,被誉为太阳能光伏行业最高规格的SNEC第五届(2011)国际太阳能产业及光伏工程(上海)展览会暨论坛于上海新国际博览中心隆重举行。来自六十多个国家和地区的全球光伏企业会聚一堂,展会参展商超过1800家,展出内容覆盖太阳能光伏生产的全部环节,包括原料、设备、材料、光伏电池和光伏组件等。 岛津公司作为世界驰名的检测仪器供应商携UV-3600、IRPrestige-21、EDX、磁悬浮涡轮分子泵和天平系列产品亮相本届大会。作为辅助检测行业,岛津受到众多参会者的高度关注,参会者纷纷来到岛津展台,与岛津人员就检测技术等关心的问题进行了深入交流。其中一些参会者还在岛津展台当场做了样品测定,并对检测结果非常满意。 会场入口 展台现场 在太阳能光伏生产环节中,UV主要应用于的硅片、膜,盖板,背板等材料透过率和反射率的检测,评价各环节太阳光能的导入效率。岛津参展的UV-3600是岛津向太阳能光伏行业主推的一款仪器,可以测定太阳光长波段到3300nm,配合各种其他附件使用,可以满足此行业的各种检测要求。目前已经有部分光伏行业用户已采购或即将采购UV3600。 FTIR主要应用于太阳能密封材料的UV劣化评价、硅薄片氢氧化层评价及多晶硅薄片中碳偏差的评价。众多的参会者对岛津IRPrestige-21很感兴趣,向岛津公司工程师详细询问了这款仪器的性能指标,还讨论了具体的检测方法。 现场很多参会者咨询EDX关于浆液,膜,原料等的成分检测方法,现场工程师做了详细解答,而对于多晶硅、单晶硅及石墨等原材料的纯度检测,由于杂质含量较低,岛津公司工程师推荐并介绍了岛津ICP仪器及相关检测方法。也有参会者咨询了岛津热分析装置,该装置用于评测透明密封黏贴膜的热硬化性能。 在本次大会上,岛津公司展现了其为太阳能光伏产业提供全面检测解决方案的形象。 关于岛津 岛津国际贸易(上海)有限公司是(株)岛津制作所为扩大中国事业的规模,于1999年100%出资,在中国设立的现地法人公司。 目前,岛津国际贸易(上海)有限公司在中国全境拥有12个分公司,事业规模正在不断扩大。其下设有北京、上海、广州分析中心;覆盖全国30个省的销售代理商网络;60多个技术服务站,构筑起为广大用户提供良好服务的完整体系。 岛津作为全球化的生产基地,已构筑起了不仅面向中国客户,同时也面向全世界的产品生产、供应体系,并力图构建起一个符合中国市场要求的产品生产体制。 以&ldquo 为了人类和地球的健康&rdquo 为目标,岛津人将始终致力于为用户提供更加先进的产品和更加满意的服务。 更多信息请关注岛津公司网站www.shimadzu.com.cn。
  • 突破:中欣晶圆12英寸第一枚外延片正式下线
    12月28日,中欣晶圆迎来了具有历史意义的一天:在12英寸生产车间,顺利完成了12英寸第一枚外延片下线。自此,中欣晶圆成为国内首家真正意义上能独立完成从12英寸单晶、抛光到外延研发、生产的企业。目前我国半导体硅片需求持续增长,但国产化比例低,能够量产的国产12英寸硅片以抛光片为主, 12英寸外延片的生产是当前制约我国集成电路产业发展的重要瓶颈。新能源汽车、5G通信、物联网、智能手机等行业在不断发展,国内半导体硅片外延片市场规模持续增长,但目前国内能满足外延片生产的公司屈指可数。自2019年12月底第一枚12英寸抛光片下线至今,历时12个月的研发、生产,今天首枚12英寸外延片顺利下线,不仅标志着中欣晶圆生产工艺技术的进一步提升,也标志着中欣晶圆为国内集成电路产业发展迎来了一个新的里程碑,同时意味着中欣晶圆在国内半导体外延片生产领域已处于领先地位。2021年是“科技创新、永无止境、扎实推进、使命必达”之年,中欣晶圆将在新的一年里不断推进技术创新,提高工艺技术,为我国第三代半导体产业的快速发展提供有力支撑和保障。
  • 郑州先进微电子(新ADT)发布最新产品 8230系列12英寸全自动双轴划片机
    p style=" text-align: center " img style=" max-width:100% max-height:100% " src=" http://106.54.196.49:8070/api/2020-10-30/1604027113969.jpg" / /p p style=" text-align: justify text-indent: 2em " 10月29日,先进微电子装备(郑州)有限公司最新产品发布会在合肥举行,在本次发布会上先进微电子向业内各界展示了由ADT中国研发团队携手以色列ADT研发团队及英国LP研发团队精心打造的12英寸全自动双轴划片机。同时借此机会,先进微电子也亮相了一系列面向行业需求和应用场景的晶圆及封装模组的切割划片解决方案,以满足各家用户在半导体芯片生产过程中对于精度、效率和灵活性的更高需求。 /p p style=" text-align: justify text-indent: 2em " 在本次发布会上,首先由ADT全球副总裁JESSE PARKER先生介绍了公司在国际、国内市场的拓展情况,对此次的新产品作了简要介绍。最后由先进微电子装备(郑州)有限公司董事长赵彤宇致辞,他提到,近两年整个国内半导体行业都面临着前所未有的压力,不管是从用户需求还是市场挑战,每一个业内人士都需要进行自我改变和产品升级,以达到突破性的成果。而这也正是先进微电子及其子公司一直以来的执着追求,不断为市场和客户提供高效、可靠、易于操控的高端切割划片机解决方案。 /p p style=" text-align: justify text-indent: 2em " 作为一家中国企业,先进微电子装备(郑州)有限公司在成立初期,便由河南省政府、郑州市政府、上市公司光力科技、中科院微电子所下属基金等多家政府平台、企业平台和中国科学院平台共同出资构建,力在打造一个成熟的、能够在半导体芯片封测高端装备领域起到龙头作用的、专注于半导体设备研发、生产和销售的高科技企业。2019年10月,公司全资收购了全球第三大半导体切割设备生产商——以色列先进切割技术有限公司(ADT,Advanced Dicing Technologies)。收购完成后,在多方技术融合的同时公司大力投入创新研发,在结合国际与国内市场情况及客户需求的基础上,经过不到1年的时间就研发出12英寸全自动双轴划片机,实现了晶圆及封装模组切割划片的整机、技术方案、售后服务的全面国产化布局。 /p p style=" text-align: justify text-indent: 2em " ADT 8230是一款高效率、高精度、高性能、低使用成本的双轴(对向)全自动划片机。最大切割工件尺寸可达12英寸。8230系列使用最新开发的图形用户界面(GUI),17英寸触摸显示屏具有更好的灵活性和视觉效果。所配备的空气主轴转速可达60,000rpm/1.8KW,更换刀片时可锁定主轴,操作更快速、便捷,实现了晶圆等产品搬送、拉直、切割的全自动化。同时可基于自动刀痕检查功能进行自我调整,优化切割品质。在机器运转过程中具有切割过程信息记录功能、耗材(Blade)使用记录分析功能,设备生产数据记录分析功能和操作员生产数据记录分析功能,能够进行设备生产效率分析和人员绩效分析,大大提高了用户的生产管理效率和管理的精准度。 /p p style=" text-align: justify text-indent: 2em " ADT 8230采用17寸触摸屏,切割过程中可以同时监控机器运行状态;下拉式多信息显示界面,对机器状态可一目了然;追随式键盘设计,更高效的数据编辑;局部放大镜功能大幅提升对准精度;同时可根据用户的使用习惯对用户界面进行定制;在该设备的结构特点和优势方面,采用了软硬件均模块化的设计,更大功率的空气主轴,UV照射解胶,强制排风,去离子风扇,工作台无限制旋转等,还可快速增加联机联网功能。 /p p style=" text-align: justify text-indent: 2em " ADT作为世界三大切割划片系统供应商之一,所生产的设备在切割划片精度、效率、切割品质等方面处于世界领先水平,其设备被广泛应用于LED封装、LED砷化镓晶圆、分立器件晶圆、无源器件、微电子传感器、晶圆级相机模组、图像传感器、摄像机镜头、红外滤光片、光纤、射频通信、医疗传感器、组装与封装、磁头、硅片等领域。其客户涵盖华为、TE、Epson、Diodes、长电科技等60多家全球知名企业。 /p p style=" text-align: justify text-indent: 2em " 收购之后,先进微电子主营ADT品牌的切割划片机、周边设备以及刀片等产品。销售总部(上海精切半导体设备有限公司)设立在上海浦东,在全球进行销售和售后服务的网点遍布于美国(亚利桑那州和宾夕法尼亚州)、中国台湾地区、菲律宾、欧洲(英国)和以色列等地;在苏州纳米城设有约500m2的千级洁净室及全套对晶圆和电子产品进行切割划片试验、设备DEMO的应用开发和客户培训的基地;在国内除上海的技术服务团队外,其服务网点和工程师遍布于苏州、天津、成都、深圳等地,可为大陆客户提供及时的技术服务。在未来,公司将整合国际化技术资源以及创新研发能力,努力实现中国半导体高端切割划片系统的国产化替代,积极迎接半导体行业即将带来的机遇与挑战。 /p p style=" text-align: justify text-indent: 2em " 先进微电子表示,目前多台新设备ADT 8230已在多家国内知名半导体集成电路封测企业进行生产性试用与评测,已获得了这些用户的好评。 /p
  • 国产验证28nm产线预计明年投产,月产3000片12英寸晶圆
    2月20日,集成电路供应链及先导技术产业创新中心项目进行环评公示,建设单位为北方集成电路技术创新中心(北京)有限公司。据了解,北方集成电路技术创新中心(北京)有限公司成立于 2017 年 09 月 25 日。经营范围包括 与集成电路、半导体技术有关的开发、设计服务、技术服务、技术转让、技术咨询;半导 体(硅片及各类化合物半导体)集成电路芯片的制造、针测及测试、光掩膜制造、测试封装; 销售自产产品;货物进出口;机械设备租赁。公示信息显示,该项目总投资 51.37 亿元,建筑面积约25382.1 m2。项目将建设集成电路国产验证中试线,为多个工艺验证及技术创新研发平台提供场地及设施,产能为每月约3000片12英寸晶圆。据了解,该项目用于先进图像传感器、65nm-28nm 及以下逻辑技术研发线的建设,达到或接近国际同类产品的技术指标,主体生产工艺以65nm~28nm及以下制程为主。预计投产时间 2022 年 9 月,根据项目需求,仅先购置部分生产工艺设备。附件:集成电路供应链及先导技术产业创新中心项目建设项目环境影响报告表.pdf
  • 这些检测仪器广东省采购量独占鳌头 ——半导体仪器设备中标市场盘点系列之前道量测篇
    前道量检测根据测试目的可以细分为量测和检测。量测主要是对芯片的薄膜厚度、关键尺寸、套准精度等制成尺寸和膜应力、掺杂浓度等材料性质进行测量,以确保其符合参数设计要求;而检测主要用于识别并定位产品表面存在的杂质颗粒沾污、机械划伤、晶圆图案缺陷等问题。广义上的半导体检测设备,分为前道量测(又称半导体量测设备)和后道测试(又称半导体测试设备)。前道量检测主要用于晶圆加工环节,目的是检查每一步制造工艺后晶圆产品的加工参数是否达到设计的要求或者存在影响良率的缺陷,属于物理性的检测。仪器信息网近期特对一年内半导体前道检测用光学显微镜、聚焦离子束、电子显微镜、四探针和椭偏仪的中标讯息整理分析,供广大仪器用户参考。(注:本文搜集信息全部来源于网络公开招投标平台,不完全统计分析仅供读者参考。)各月中标量占比2019年10月至2020年9月,根据统计数据,检测设备的总中标数量为142台。2019年10月至2020年1月,平均中标量约15台每月。2020年2月,由于疫情影响,半导体量测仪器市场低迷。从2020年3月起,随着国内疫情稳定以及企业复产复工和高校复学的逐步推进,光刻设备市场逐渐回暖,其中9月产品中标量高达21台。招标单位地区分布本次盘点,招标单位地区分布共涉及25个省份、自治区及直辖市。其中,广东省采购量最多,达33台,远超其他地区。在广东省的采购中,以光学显微镜和四探针设备为主,采购单位多数为高校。采购单位性质分布从光刻设备的招标采购单位来看,高校是采购的主力军,采购量占比高达63%,企业和科研院所的采购量分别占比17%和20%。值得注意的是,企业和科研院所采购检测设备的平均价格较高。这表明,前道检测设备主要用户集中于研发领域。各类检测设备占比从各类前道检测设备占比来看,根据搜集到的中标数据可知,四探针、椭偏仪、电子显微镜和光学显微镜占比分别为32%、25%、22%和18%。这里的光学显微镜包含了金相显微镜和体视显微镜。值得注意的是,企业采购以电子显微镜为主,而高校采购则以四探针为主,科研院所各类设备采购数量差距不大。本次设备中标盘点,涉及椭偏仪品牌有颐光科技、SEMILAB、J.A.Woollam、海瑞克、HORIBA等;四探针品牌有广州四探针、瑞柯、苏州晶格、海瑞克、品鸿科技、海尔帕、普西工业、Napson Corporation、三菱等。其中,各品牌比较受欢迎的产品型号有:HORIBA UVISEL 研究 级经典型椭偏仪 这款仪器是HORIBA公司20多年技术积累和发展的结晶,是一款高准确性、高灵敏度、高稳定性的经典椭偏机型。即使在透明的基底上也能对超薄膜进行精确的测量。采用PEM相位调制技术,与机械旋转部件技术相比,能提供更好的稳定性和信噪比。同时,这款仪器提供多种光谱范围选择,还针对紫外、可见和近红外提供优化的PMT和IGA探测器。 FT-330 系列四 探针测试 仪 FT-330系列普通四探针方阻电阻率测试仪是按照硅片电阻率测量的国际标准(ASTM F84)及国家标准设计制造该仪器设计符合GB/T 1551-2009 《硅单晶电阻率测定方法》、GB/T 1551-1995《硅、锗单晶电阻率测定直流两探针法》、GB/T 1552-1995《硅、锗单晶电阻率测定直流四探针法》并参考美国 A.S.T.M 标准设计。本机配置232电脑接口及USB两种接口,采用范德堡测量原理能改善样品因几何尺寸、边界效应、探针不等距和机械游移等外部因素对测量结果的影响及误差,比市场上其他普通的四探针测试方法更加完善和进步,特别是方块电阻值较小的产品测量,更加准确。FT-341 双电测 电四探针 方阻电阻率测试仪 这款仪器采用四探针双电测量方法,适用于生产企业、高等院校、科研部门,是检验和分析导体材料和半导体材料质量的一种重要的工具。同时,仪器配置各类测量装置可以测试不同材料。双电测数字式四探针测试仪是运用直线或方形四探针双位测量。该仪器设计符合单晶硅物理测试方法国家标准并参考美国 A.S.T.M 标准。利用电流探针、电压探针的变换,进行两次电测量,对数据进行双电测分析,自动消除样品几何尺寸、边界效应以及探针不等距和机械游移等因素对测量结果的影响,它与单电测直线或方形四探针相比,大大提高精确度,特别是适用于斜置式四探针对于微区的测试。点击此处进入【电子显微镜】【聚焦离子束】【四探针测试仪】和【椭偏仪】等专场,获取更多产品信息。更多资讯请扫描下方二维码,关注【材料说】
  • 我国第一片8英寸键合SOI晶片研制成功
    本报讯 记者从中国科学院上海微系统与信息技术研究所获悉,近日,该所研究员王曦领导的SOI研究小组,在上海新傲科技有限公司研发平台上,通过技术创新,制备出我国第一片8英寸键合SOI晶片,实现了SOI晶片制备技术的重要突破。 过去,该研究小组因建立了我国第一条高端硅基集成电路材料SOI晶圆片生产线,实现了4~6英寸SOI材料产业化,解决了我国SOI材料的有无问题,而获得国家科技进步奖一等奖。 该研究小组的人员并没有满足所取得的成绩,面对国内外集成电路技术向大直径晶圆片升级换代的大趋势,又设立了攻关8英寸大直径SOI晶圆片的课题。在开发过程中,研究人员克服了硬件条件不足的困难,突破了清洗、键合、加固、研磨和抛光等一系列关键技术。通过改造现有设备,实现了8英寸硅片的旋转式单片清洗工艺;自主设计开发了大尺寸晶片键合平台,在此基础上实现了8英寸晶片键合,并达到了对键合过程和键合质量的实时监控;通过对现有设备的升级改造,实现了键合晶片的加固;经过大量的研磨工艺实验,反复比较研磨过程粗磨、精磨工艺中砂轮转速等工艺参数对晶片的影响,确定出较优研磨工艺;随后,在现有抛光工艺基础上,优化抛光浆料配比,实现了8英寸SOI晶片的精细抛光。 有关专家认为,8英寸SOI晶圆片的成功开发,标志着王曦领导的研究开发小组已经掌握了大尺寸键合SOI晶片制备的关键技术,为大尺寸键合SOI晶片的产业化打下了坚实基础。 据介绍,在极大规模集成电路国家重大科技专项中,宏力半导体公司和华润微电子等8英寸集成电路制造代工企业安排了8英寸SOI先进电路的研发和产业化项目,急需本土化的8英寸SOI衬底材料配套。上海微系统所和上海新傲公司联合开发的8英寸键合SOI晶圆片正是适应了这些需求,具有广阔的市场前景。
  • 考虑探测器非理想性的红外偏振成像系统作用距离分析
    在背景与目标红外辐射量差距不大或背景较为复杂等情况下,传统红外成像技术对目标进行探测与识别的难度较大。而红外偏振探测在采集目标与背景辐射强度的基础上,还获取了多一维度的偏振信息,因此在探测隐藏、伪装和暗弱目标和复杂自然环境中人造目标的探测和识别等领域,有着传统红外探测不可比拟的优势。但同时,偏振装置的加入也增加了成像系统的复杂度与制作成本,且对于远距离成像,在红外成像系统前加入偏振装置对成像系统的探测距离有多大的影响,也有待进一步的研究论证。据麦姆斯咨询报道,近期,中国科学院上海技术物理研究所、中国科学院红外探测与成像技术重点实验室和中国科学院大学的科研团队在《红外与毫米波学报》期刊上发表了以“考虑探测器非理想性的红外偏振成像系统作用距离分析”为主题的文章。该文章第一作者为谭畅,主要从事红外偏振成像仿真方面的研究工作;通讯作者为王世勇研究员,主要从事红外光电系统技术、红外图像信号处理方面的研究工作。本文将从分析成像系统最远探测距离的角度出发,对成像系统的探测能力进行评估。综合考虑影响成像系统探测能力的各个因素,参考传统红外成像系统作用距离模型,基于系统的偏振探测能力,建立了红外偏振成像系统的作用距离模型,讨论了偏振装置非理想性对系统探测能力的影响,并设计实验验证了建立模型的可靠性。红外成像系统作用距离建模目前较为公认的对扩展源目标探测距离进行估算的方法是MRTD法。该方法规定,对于空间频率为f的目标,人眼通过红外成像系统能够观察到该目标需要满足两个条件:①目标经过大气衰减到达红外成像系统时,其与背景的实际表观温差应大于或等于该频率下的成像系统最小可分辨温差MRTD(f)。②目标对系统的张角θT应大于或等于相应观察要求所需要的最小视角。只需明确红外成像系统的各项基本参数与观测需求,我们就可以计算出系统的噪声等效温差与最小可分辨温差,进而求解出它的最远探测距离。红外偏振成像系统作用距离建模偏振成像根据成像设备的结构特性可分为分振幅探测、分时探测、分焦平面探测和分孔径探测。其中分时探测具有设计简单容易计算等优点,但只适用于静态场景;分振幅探测可同时探测不同偏振方向的辐射,但存在体积庞大、结构复杂,计算偏振信息对配准要求高等问题;分孔径探测也是同时探测的一种方式,且光学系统相对稳定,但会带来空间分辨率降低的问题;分焦平面偏振探测器具有体积小、结构紧凑、系统集成度高等优势,可同时获取到不同偏振方向的偏振图像,是目前偏振成像领域的研究热点,也是本文的主要研究对象。图1为分焦平面探测系统示意图。图1 分焦平面探测器系统示意图本文仿真的分焦平面偏振探测器,是在红外焦平面上集成了一组按一定规律排列的微偏振片,一个像元对应着一个微偏振片,其角度分别为 0°、45°、90°和135°,相邻的2×2个微像元组成一个超像元,可同时获取到四种不同的偏振态。图1为分焦平面探测系统结构示意图。传统方法认为在红外成像系统前加入偏振装置后,会对系统的噪声等效温差与调制传递函数MTF(f)产生影响,改变系统的最小可分辨温差,进而改变系统的最远探测距离。本文将从偏振装置的偏振探测能力出发,分析成像系统的最小可分辨偏振度差,建立红外偏振成像系统的探测距离模型。我们首先建立一个探测器偏振响应模型,该模型将探测器视为一个光子计数器,光子被转换为电子并在电容电路中累积,综合考虑探测器井的大小、偏振片消光比、信号电子与背景电子的比率以及入射辐射的偏振特性,通过应用误差传播方法对结果进行处理。从噪声等效偏振度(NeDoLP)的定义出发,NeDoLP是衡量偏振探测器探测能力的指标,即探测器对均匀极化场景成像时产生的标准差。对其进行数学建模,进而分析得到红外偏振成像系统的最远探测距离。图2 DoLP随光学厚度变化曲线对于探测器来说,积分时间越长,累积的电荷越多,探测器的信噪比(SNR)就越高,但这种增加是有限度的。随着积分时间的增加,光生载流子有更多的时间被收集,增加信号。然而,同时,暗电流及其相关噪声也会增加。对于给定的探测器,最佳积分时间是在最大化信噪比和最小化暗电流及噪声的不利影响之间取得平衡,为方便分析,我们假设探测器工作在“半井”状态下。通过以下步骤计算红外偏振成像系统最远作用距离:a. 根据已知的目标和背景偏振特性以及环境条件,计算在给定距离下,目标与背景之间的偏振度差在传输路径上的衰减。b. 结合系统的探测器性能参数,确定目标在给定距离下是否可被观察到。如果不能则减小设定的距离。目标被观察到需同时满足衰减后的偏振度差大于或等于系统对应于该频率的最小可分辨偏振度差MRPD,目标对系统的张角θT大于或等于相应观察要求所需要的最小视场角。c. 逐步增加距离,直到目标与背景之间的偏振度差不再满足观察要求。这个距离即为成像系统最远作用距离。τp (R)为大气对目标偏振度随探测距离的衰减函数,可根据不同的天气条件,根据已有的测量数据进行插值,计算出不同探测距离下大气对目标偏振度的衰减,图4. 5给出了根据文献中测量数据得到的偏振度随光学厚度增加衰减关系图。这里给出的横坐标是光学厚度,不同天气条件下,光学厚度对应的实际传播距离与介质的散射和吸收系数有关。综上,我们建立了传统红外成像系统和考虑了偏振片非理想性的红外偏振成像系统的作用距离模型,下面我们将对模型的可靠性进行验证,分析讨论探测器各参数对成像系统探测能力的影响。验证与讨论由噪声等效偏振度的定义可知,其数值越小,代表偏振探测器的性能越优秀。下面我们对影响红外偏振成像系统探测性能的各因素进行讨论,并设计实验验证本文建立模型的正确性。偏振片消光比消光比是衡量偏振片性能的重要参数,市售的大面积偏振片的消光比可以超过200甚至更多。对其他参数按经验进行赋值,从图3可以看到,对于给定设计参数的探测器,偏振片消光比超过20后,随着偏振片消光比的增加,探测器性能上的提升微乎其微。对于分焦平面探测器,为实现更高的消光比,不可避免地要牺牲探测器整体辐射通量。由于辐射通量降低而导致的信噪比损失可能远远超过消光比增加所获得的收益。这一结果同样可以对科研人员研制偏振片提供启发,对需要追求高消光比的偏振片来说,增大透光轴方向的最大透射率要比降低最小透射率更有益于成像系统的性能。图3 偏振片消光比与探测器噪声等效偏振度关系图探测器井容量红外探测器的井容量是指探测器像素在饱和之前能够累积的电荷数量的最大值。井容量是衡量红外探测器性能的一个关键参数,井容量通常以电子数(e-)表示。较大的井容量意味着探测器可以在饱和之前存储更多的电荷,从而能够在更大的亮度范围内准确检测信号。这对于在具有广泛亮度变化的场景中捕获清晰图像至关重要。从图4可以看出,增大探测器井的容量,同样能很好的提高成像系统的偏振探测能力。图4 探测器井容量与探测器噪声等效偏振度关系图然而,井容量的增加可能会导致像素尺寸增大或探测器面积减小,这可能对系统的整体性能产生负面影响。因此,在设计红外探测器时,需要权衡井容量、像素尺寸和其他性能参数,以实现最佳性能。目标偏振度虽然推导出的噪声等效偏振度公式包含目标偏振度这一参量,但目标的偏振度本身对探测器的噪声等效偏振度没有直接影响。NeDolp 是一个衡量探测器性能的参数,它主要受探测器内部噪声、电子学和其他系统组件的影响。然而,目标的偏振度会影响探测器接收到的信号强度,从而影响信噪比(SNR)。从图5也可以看出,探测器的NeDolp受目标的偏振度影响不大。图5 目标偏振度与探测器噪声等效偏振度关系图读取噪声与产生复合噪声比值读取噪声主要来自于探测器的读出电路、放大器和其他电子元件。它通常在整个光强范围内保持相对恒定。产生复合噪声是由光子的随机到达和电荷生成引起的,与光子数成正比。在低光强下,产生复合噪声通常较小;而在高光强下,它会逐渐变大。通过计算读取噪声和产生复合噪声的比值,可以确定系统的性能瓶颈。如果读取噪声远大于产生复合噪声,这意味着系统在低光强下受到读取噪声的限制。在这种情况下,优化读出电路和放大器等元件可能会带来性能提升。如果产生复合噪声远大于读取噪声,这意味着系统在高光强下受到产生复合噪声的限制。在这种情况下,提高信号处理和光子探测效率可能有助于改善性能。从图6可以看出,降低读取噪声与产生复合噪声比值可以有效提升系统偏振探测能力。图6 δ与探测器噪声等效偏振度关系图信号电子比例综合图4~6可以看出,提升β的数值可有效提高探测器的偏振探测能力,由β的定义可知,对于确定井容量的探测器,β的取值主要取决于探测器的各种噪声与积分时间,降低探测器的工作温度、优化探测器结构、减少表面和界面缺陷等途径都可以降低探测器的噪声,调节合适的积分时间也有助于探测系统的性能提升。实验验证根据噪声等效偏振度的定义,利用面源黑体与红外可控部分偏振透射式辐射源创建一组均匀极化场景。如下图7所示,黑体发出的红外辐射,经过两块硅片,发生四次折射,产生了偏振效应,通过调节硅片的角度,即可产生不同线偏振度的红外辐射。以5°为间隔,将面源黑体平面与硅片间的夹角调为10°~40°共七组。每组将面源黑体设置为40℃和70℃两个温度,用国产自主研制的红外分焦平面偏振探测器采取不少于128帧图像并取平均,然后将每组两个温度下相同角度获得的图像作差,以减少实验装置自发辐射和反射辐射对测量结果的干扰,差值图像就是透射部分的红外偏振辐射。对差值图像进行校正和去噪后,即可按公式计算出探测器对均匀极化场景产生的偏振度图像。计算出红外辐射的线偏振度,为减小测量误差,仅取图像中心区域的像元进行分析。该区域像元的标准差就是该成像系统的噪声等效偏振度(NeDoLP)。探测器具体参数如表1所示。图7 实验示意图表1 偏振探测器参数利用本文建立的探测器仿真模型计算出硅片的线偏振度仿真值,公式19计算出硅片线偏振度的理论值,与实验的测量值进行对比,图8展示了三组数据的变化曲线,从图中可以看出,三组数据存在一定偏差,这可能与硅片调节角度误差、面源黑体稳定性、干涉效应、硅片摆放是否平行等因素有关,但在误差允许的范围内,实验验证了偏振探测系统的性能,也证明了本文建立仿真模型的可靠性。NeDoLP测量结果如表2所示。图8 线偏振度理论值、测量值与本文模型仿真值曲线图表2 实验结果从上表可以看到NeDoLP的测量值与仿真值的差值基本能控制在5%以内,实验结果再次印证了本文设计的模型的可靠性。实例计算应用建立的模型对高2.3m,宽2.7m,温度47℃,发射率为1的目标的最远探测距离进行预测,目标差分温度6℃;背景温度27℃;发射率1;目标偏振度30%,背景偏振度1%,使用3.2节中样机的探测器参数,最后,采用文献中介绍的“等效衰减系数-距离”关系的快速逼近法对红外探测系统最远作用距离R进行求解,得到表3的结果。表3 红外成像系统的最远作用距离根据红外探测系统最远探测距离,利用本文第二节提出的方法,得到不同探测概率下红外偏振成像系统最远作用距离结果如表4所示。表4 红外偏振成像系统的最远作用距离所选例子为目标与背景偏振度差异大于其温差,所以在这种探测场景下红外偏振成像系统的探测能力要优于红外成像系统。探测器的参数不同,探测场景与目标的变化都会对模型的结果产生影响,但本文提供的成像系统作用距离模型可为实际探测中不同应用场景下的成像系统选择提供参考。结论针对不同的探测场景,红外成像系统与红外偏振成像系统在最远探测距离方面哪个更有优势并没有定论,探测目标的大小,背景与目标的温差与偏振度差,大气透过率,具体探测器的参数等因素都会对成像系统的最远探测距离产生影响。经实验验证,本文所建立的非理想红外偏振成像系统的响应模型是可靠的,可以用于估算成像系统的最远作用距离,针对不同的探测场景,读者可通过实验确定探测器的具体性能参数,利用仿真软件或实验测量的方式获取探测目标的温度与偏振信息,明确探测环境的具体大气参数,利用模型对红外成像系统与偏振成像系统的最远作用距离进行预估,选择更具优势的成像系统。这项研究获得上海市现场物证重点实验室基金(No. 2017xcwzk08)和上海技术物理研究所创新基金(No. CX-267)的资助和支持。论文链接:http://journal.sitp.ac.cn/hwyhmb/hwyhmbcn/article/abstract/2023041
  • 盛美半导体首台12英寸单晶圆薄片清洗设备提前获得验收
    盛美半导体官方消息显示,1月8日,盛美半导体首台应用于大功率半导体器件制造的新款12英寸单晶圆薄片清洗设备已通过厦门士兰集科量产要求,提前验收。该设备于2020年5月20日作为首批设备之一搬入工厂,从正式装机到应用于产品片生产,只用了18天的时间,原定一年的验证期仅用6个月即顺利完成验收。图片来源:盛美半导体设备图片来源:盛美半导体设备据悉,盛美新款12英寸单晶圆薄片清洗设备,是一款高产能的四腔体系统,用于超薄片的硅减薄湿法蚀刻工艺,以消除晶圆应力、并进行表面清洗等。该系统的传输及工艺模块为超薄硅片的搬送及工艺处理提供了有效的解决方案,基于伯努利效应,该系统在传输与工艺中,与晶圆表面完全无接触,消除由接触带来的机械损伤,提高器件的良率。通过不同的设定,该系统可适用于Taiko片、超薄片、键合片、深沟槽片等不同厚度的晶圆;通过采用不同的化学药液组合,该系统可拓展应用于清洗、光刻胶去除、薄膜去除和金属蚀刻等工艺。此前,盛美半导体设备董事长王晖曾表示:“为了争夺市场份额,功率设备制造商需扩大MOSFET 和IGBT的产能,包括增加晶圆减薄设备,同时兼顾利用有限的工厂面积。为此,我们开发了一个四腔系统,与目前市场上的一腔或两腔系统相比,它能提供更高的产能,降低成本(COO)、增加效益。此外,我们提供了一套专用的非接触式传输与工艺系统,以防止这些薄至50微米的易碎晶圆在背面减薄与清洗过程中受到损坏,从而提高器件良率。”此次盛美半导体12英寸单晶圆薄片清洗设备的快速投入量产使用并提前成功验收是盛美与国内量产客户团队的紧密合作成果。据了解,作为盛美半导体2020年推出的重要新产品之一,该设备的顺利验收对推动该设备在功率器件新兴市场的推广具有重要意义。盛美半导体设备公司主要从事半导体专用设备的研发、生产和销售,主要产品包括半导体清洗设备、半导体电镀设备和先进封装湿法设备等。公司坚持差异化竞争和创新的发展战略,通过自主研发的单片兆声波清洗技术、单片槽式组合清洗技术、电镀技术、无应力抛光技术和立式炉管技术等,向全球晶圆制造、先进封装及其他客户提供定制化的设备及工艺解决方案,有效提升客户的生产效率、提升产品良率并降低生产成本。
  • 基因芯片:春天在哪里
    俞菁(化名)是一名手语翻译,她的妈妈因为小时候一次注射庆大霉素致聋,但她自己的听力得以保持健全。俞菁有一位好姐妹,情况却正好相反,她妈妈听力正常,而她自己在小时候在一次药物注射后变成了听障患者。   去年,她们都参加了北京市的一个高危人群致聋基因筛查,结果两个人都是致聋基因的携带者,只是因为俞菁从小有意识去避免注射一种药物,而她的好姐妹却毫无知情用了这种药,导致了两个人走向了完全不同的人生。   博奥生物市场总监赵智贤告诉记者,“俞菁的妈妈和她的聋人姐妹刚出生时没有出现耳聋,都是在后天被注射了链霉素、庆大霉素等氨基糖甙类药物致聋。”我国每年因迟发性耳聋及药物性耳聋的新增患者可达3万多人,其中60%的是由于致聋基因造成。   事实上,很多医生都知道该类药物会导致基因突变引起的药毒性耳聋,但以往依靠传统基因测序方式需要3天,且耗资昂贵。作为此次北京市致聋基因筛查的承担者,博奥生物采用了一种新的耳聋基因芯片检测技术,“该芯片上涵盖了导致中国人群耳聋最常见的4种基因的9个突变位点,做一次检测只需要5小时,价格几百元。”赵智贤说。   基因在我们身体里已经“神秘地行动”很久了,而不管你了解或不了解,信或不信,它都会导致完全不同的结果甚至人生。人类迫切地希望解码自己的基因,在种种关于未来10大技术趋势的预测中,好几项也都跟基因技术相关。   耳聋芯片是目前基因芯片的一种最普通的应用。所谓的基因检测芯片,其外形与电子芯片一样,但上面排列的不是集成电路,而是基因序列。它把大量已知基因序列的核酸片段识别探针,集成在一块指甲大小的玻璃片或硅片表面,通过与样品进行反应,基因会呈现出不同的表达信号,用计算机技术收集信号数据,分析样品的基因突变情况来诊断遗传性疾病。虽说基因芯片早在20世纪末就成功问世,并应用于药物筛选和实验室研究,但普通人对它还是知之甚少。   “有成千上万个鱼钩的钓杆”   如果说以前的基因检测技术均只有一个“鱼钩”,一次只能钓到一条鱼(一种基因)。那么,基因芯片就好比是一根有成千上万个鱼钩的钓杆,可同时捕捉许多不同的鱼,从而实现对千万个基因的同步检测和鉴定。它具有高效率、高通量、快速简便等特点。赵智贤说,耳聋芯片可以提供从孕前、产前到出生的基因检测,“只需要从母亲的羊水中提取一滴样品,或者一滴血就可以完成整个检测。”它可帮助生育父母及时获知新生命的遗传信息并采取措施,降低新生儿患遗传性疾病。   基因芯片将改变“万人一配方”的用药模式,在个性化配方上,未来西医与中医有可能殊途同归。目前医生为同一类病症的患者开出的基本都是标准化药方,其实人的个体差异直接影响用药效果,用药的多少也应有区别。   人类基因组编码大约有10万个不同的基因,一个基因又有成千上万个位点,“多数慢性病会跟几十个基因中几个或更多突变位点相关。基因芯片可以对基因分类,并尽可能找出相关的位点,发现哪些基因和位点对于预后表达得好,哪些表达得不好,再根据比对结果提供个性化治疗”。一位从事基因检测芯片服务的海归创业者解释道。   “结核病最难治的地方,是其耐药性高。原来查基因耐药需要4~8周的时间,而期间多数治疗都是经验用药,如果产生耐药,不但治不了病,反而会加重病情,延误治疗。结核病检测芯片将检测时间缩短至6个小时,为治疗赢取时机。”赵智贤以具体案例作了实证。   基因芯片给西医带来的最大改变,是它可以检测出患者之间的个体差异,使医生诊断和用药更及时和提高准确性,但这需要建立在大量的科研基础之上,而且基因的筛查要经过时间的推移才能显现出效果来。   尽管当前基因芯片技术尚未完全成熟,但是却挡不住它的商业脚步。在国内一些医院或体检机构,现在可以看到这样的广告:只需一滴血或一份唾液样品,你就可以预知会否患上癌症,将健康掌握在自己手中。在美国,越来越多的零售商开始通过互联网直接面向消费者提供基因诊断测试,他们的口号是不借助医生就可以从基因水平上了解自己的健康状况。这种测试甚至已开始通过互联网瞄准国际市场。   通常,消费者只要付款就能得到一份包括自身的基因、特质及潜在病症的风险等级清单,另外还包括一份针对个人的用药建议以及生活、饮食和环境上的综合健康建议,如节食和运动等。国内的价格在2~3万元不等。   基因芯片的“管”与“放”   那么,这种基因诊断到底有多靠谱?博奥生物从技术角度给出了一套标准。基因芯片技术必须建立在大量已知基因和基因改变与疾病关系的基础上,因此其所检测的疾病相关基因数应该越多越好,而且需要建立一个异常庞大的基因与疾病关联数据库,同时要有一套科学的数学模型。还有,基因检测具有一定的种族针对性,“也就是说如果比对的人群数据库不同,检测的结果也会有差异。” 赵智贤说。   而由4位美国生物伦理学、法律及医学方面的专家组成的研究小组对于该种基因测试是否适用于市场进行了研究。他们表示,基因测试都要通过同一类型的集中数据市场前期调查,许多基因测试公司都给出了长期的预测结果,实际上,要完全了解这些风险与益处需要几十年的时间。   基因芯片三大难题   “基因改变与疾病的关系并不能一一对应,所有基因检测的结果,并非一定就会发生。同样,即使你检测出来携带耳聋基因,也并不意味一定会出现药毒性耳聋。基因芯片还不能完全取代目前临床实验室诊断,”海归的基因检测创业者认为,当前这个阶段应该客观地看待基因芯片技术,但是,“谁也不能否认它的医学参考价值”。   基因芯片的商机不可预估,谁都想抢一把风气之先,“管它是不是萝卜先占个坑”,这使得不管是国际还是国内市场都处在一种鱼龙混杂的阶段。目前我国生物芯片企业不少于50家,但获得国家有关部门认证的只有极少数。某些机构把基因检测的销售业务外包出去之后,出现了一些销售方式上的“变味”,有的甚至变成了传销。另外,美国的研究小组也在《科学》杂志发文表示,美国市场上90%的基因测试都没有通过正式的管理评估。   企业在冒进,而真正的市场化却还远没开始。造成这种现象的原因很复杂,赵智贤认为,目前基因芯片在药监局的审批难,进入物价收费流程难以及进入医保体系难这三大难题,使得其真正迈入市场化商业之路还很远,而在此之前出现一些市场“乱象”并不难理解。   目前的基因芯片价格还是相对昂贵,而且操作复杂、费时,对操作人员的专业素质要求比较高,国内缺乏大量相应的专业基因检测和数据分析人员,这也是推进市场化前要越过的障碍之一。2011年卫生部下发通知,决定将基因芯片诊断技术审批权“下放”到省级卫生主管部门。这意味着今后在临床上,将有越来越多的有资质的临床医生使用该项技术。   如何让基因测试尽早接触市场,加速其产业化,是各国政府共同的心态。海归的基因检测创业者如此分析国内外形势。在这种心态下,是先“管”起来,还是先“放”下去,“一管一放”的力度又该如何把握,并导致不同的行业生态,这对于各国政府都不是一件轻松的事情。
  • 快讯|钢研纳克:公司的场发射扫描电镜可用于芯片集成电路领域
    每经AI快讯,有投资者在投资者互动平台提问:你好!请问公司哪些仪器设备可以用于芯片集成电路领域检测?钢研纳克(300797.SZ)3月30日在投资者互动平台表示,公司的场发射扫描电镜可用于芯片集成电路领域,如,光刻胶缺陷分析、硅片表面残留等;电感耦合等离子体质谱可用于高纯靶材检测、高纯试剂分析等。
  • 封装行业正在采用新技术应对芯片散热问题
    为了解决散热问题,封装厂商在探索各种方法一些过热的晶体管可能不会对可靠性产生很大影响,但数十亿个晶体管产生的热量会影响可靠性。对于 AI/ML/DL 设计尤其如此,高利用率会增加散热,但热密度会影响每个先进的节点芯片和封装,这些芯片和封装用于智能手机、服务器芯片、AR/VR 和许多其他高性能设备。对于所有这些,DRAM布局和性能现在是首要的设计考虑因素。无论架构多么新颖,大多数基于 DRAM 的内存仍面临因过热而导致性能下降的风险。易失性内存的刷新要求(作为标准指标,大约每 64 毫秒一次)加剧了风险。“当温度提高到 85°C 以上时,就需要更频繁地刷新电容器上的电荷,设备就将转向更频繁的刷新周期,这就是为什么当设备变得越来越热,电荷从这些电容器中泄漏得更快的原因。不幸的是,刷新该电荷的操作也是电流密集型操作,它会在 DRAM 内部产生热量。天气越热,你就越需要更新它,但你会继续让它变得更热,整个事情就会分崩离析。”除了DRAM,热量管理对于越来越多的芯片变得至关重要,它是越来越多的相互关联的因素之一,必须在整个开发流程中加以考虑,封装行业也在寻找方法解决散热问题。选择最佳封装并在其中集成芯片对性能至关重要。组件、硅、TSV、铜柱等都具有不同的热膨胀系数 (TCE),这会影响组装良率和长期可靠性。带有 CPU 和 HBM 的流行倒装芯片 BGA 封装目前约为 2500 mm2。一个大芯片可能变成四五个小芯片,总的来说,这一趋势会持续发展下去,因为必须拥有所有 I/O,这样这些芯片才能相互通信。所以可以分散热量。对于应用程序,这可能会对您有所一些帮助。但其中一些补偿是因为你现在有 I/O 在芯片之间驱动,而过去你在硅片中需要一个内部总线来进行通信。最终,这变成了一个系统挑战,一系列复杂的权衡只能在系统级别处理。可以通过先进的封装实现很多新事物,但现在设计要复杂得多,当一切都如此紧密地结合在一起时,交互会变多。必须检查流量。必须检查配电。这使得设计这样的系统变得非常困难。事实上,有些设备非常复杂,很难轻易更换组件以便为特定领域的应用程序定制这些设备。这就是为什么许多高级封装产品适用于大批量或价格弹性的组件,例如服务器芯片。对具有增强散热性能的制造工艺的材料需求一直在强劲增长。Chiplet模块仿真与测试进展工程师们正在寻找新的方法来在封装模块构建之前对封装可靠性进行热分析。例如,西门子提供了一个基于双 ASIC 的模块的示例,该模块包含一个扇出再分布层 (RDL),该扇出再分配层 (RDL) 安装在 BGA 封装中的多层有机基板顶部。它使用了两种模型,一种用于基于 RDL 的 WLP,另一种用于多层有机基板 BGA。这些封装模型是参数化的,包括在引入 EDA 信息之前的衬底层堆叠和 BGA,并支持早期材料评估和芯片放置选择。接下来,导入 EDA 数据,对于每个模型,材料图可以对所有层中的铜分布进行详细的热描述。量化热阻如何通过硅芯片、电路板、胶水、TIM 或封装盖传递是众所周知的。存在标准方法来跟踪每个界面处的温度和电阻值,它们是温差和功率的函数。“热路径由三个关键值来量化——从器件结到环境的热阻、从结到外壳(封装顶部)的热阻以及从结到电路板的热阻,”详细的热模拟是探索材料和配置选项的最便宜的方法。“运行芯片的模拟通常会识别一个或多个热点,因此我们可以在热点下方的基板中添加铜以帮助散热或更换盖子材料并添加散热器等。对于多个芯片封装,我们可以更改配置或考虑采用新方法来防止热串扰。有几种方法可以优化高可靠性和热性能,”在模拟之后,包装公司执行实验设计 (DOE) 以达到最终的包装配置。但由于使用专门设计的测试车辆的 DOE 步骤耗时且成本更高,因此首先利用仿真。选择 TIM在封装中,超过 90% 的热量通过封装从芯片顶部散发到散热器,通常是带有垂直鳍片的阳极氧化铝基。具有高导热性的热界面材料 (TIM) 放置在芯片和封装之间,以帮助传递热量。用于 CPU 的下一代 TIM 包括金属薄板合金(如铟和锡)和银烧结锡,其传导功率分别为 60 W/mK 和 50 W/mK。随着公司从大型 SoC 过渡到小芯片模块,需要更多种类的具有不同特性和厚度的 TIM。Amkor 研发高级总监 YoungDo Kweon 在最近的一次演讲中表示,对于高密度系统,芯片和封装之间的 TIM 的热阻对封装模块的整体热阻具有更大的影响。“功率趋势正在急剧增加,尤其是在逻辑方面,因此我们关心保持低结温以确保可靠的半导体运行,”Kweon 说。他补充说,虽然 TIM 供应商为其材料提供热阻值,但从芯片到封装的热阻,在实践中,受组装过程本身的影响,包括芯片和 TIM 之间的键合质量以及接触区域。他指出,在受控环境中使用实际装配工具和粘合材料进行测试对于了解实际热性能和为客户资格选择最佳 TIM 至关重要。孔洞是一个特殊的问题。“材料在封装中的表现方式是一个相当大的挑战。你已经掌握了粘合剂或胶水的材料特性,材料实际润湿表面的方式会影响材料呈现的整体热阻,即接触电阻,”西门子的 Parry 说。“而且这在很大程度上取决于材料如何流入表面上非常小的缺陷。如果缺陷没有被胶水填充,它代表了对热流的额外阻力。”以不同的方式处理热量芯片制造商正在扩大解决热量限制的范围。“如果你减小芯片的尺寸,它可能是四分之一的面积,但封装可能是一样的。是德科技内存解决方案项目经理 Randy White 表示,由于外部封装的键合线进入芯片,因此可能存在一些信号完整性差异。“电线更长,电感更大,所以有电气部分。如果将芯片的面积减半,它会更快。如何在足够小的空间内消散这么多的能量?这是另一个必须研究的关键参数。”这导致了对前沿键合研究的大量投资,至少目前,重点似乎是混合键合。“如果我有这两个芯片,并且它们之间几乎没有凸起,那么这些芯片之间就会有气隙,”Rambus 的 Woo 说。“这不是将热量上下移动的最佳导热方式。可能会用一些东西来填充气隙,但即便如此,它还是不如直接硅接触好。因此,混合直接键合是人们正在做的一件事。”但混合键合成本高昂,并且可能仍仅限于高性能处理器类型的应用,台积电是目前仅有的提供该技术的公司之一。尽管如此,将光子学结合到 CMOS 芯片或硅上 GaN 的前景仍然巨大。结论先进封装背后的最初想法是它可以像乐高积木一样工作——在不同工艺节点开发的小芯片可以组装在一起,并且可以减少热问题。但也有取舍。从性能和功率的角度来看,信号需要传输的距离很重要,而始终开启或需要保持部分关断的电路会影响热性能。仅仅为了提高产量和灵活性而将模具分成多个部分并不像看起来那么简单。封装中的每个互连都必须进行优化,热点不再局限于单个芯片。可用于排除或排除小芯片不同组合的早期建模工具为复杂模块的设计人员提供了巨大的推动力。在这个功率密度不断提高的时代,热仿真和引入新的 TIM 仍然必不可少。
  • 闲聊半导体行业和芯片人的“黑话”(上)
    2000年入行,总觉得自己对“电镜”的认知再正常不过了,直到接触了半导体行业和芯片的量测,才知道在这个电镜的细分领域,早已是独立王国,“自成一体”了,这个“王国”的特征就是有了自己的“行话语言”;对于不太熟悉这些行话的半导体小白,一开始就跟听“黑话”没什么两样。从“正常“电镜人的讲话入手,带点粒子束显微仪器Charged Particle Microscopy和设备中的以电子束ebeam做光源的,可以做显微成像和显微分析,叫Microimaging & Microanalysis;这要是到了半导体的Fab就要讲“黑话”了:在线检测关键线宽Critical Dimension的电镜要叫“Metrology”,对应的专用设备叫“CD-SEM”;离线检测wafer缺陷的Defect要叫“Inspection”,对应的专用设备叫”EBI“;最后还要专门做最后的缺陷复检叫“Review”,对应的专用设备叫”Review-SEM“或”EBR“。这里提到的“Fab”里的是Fabrication的缩写,正常讲是"加工"或"制造"的意思,和Manufacture一样;到了半导体的Fab就特指“晶圆制造厂”了,就是制造集成电路IC Integrated Circuit的厂子,其中制程,又叫技术节点、或工艺节点Technology Node高的俗称就叫芯片了;所以我们经常听到的芯片,就是一种高制程的集成电路了;Fab就是这个制造流程的前道工序发生的地方,属于“Foundry”,就是我们常说的芯片代工厂了,也就是接受了客户委托,生产客户自有权利的芯片产品的厂子了;具体点说,就是客户提供光罩Mask,又叫光掩模,母版,交由Foundry来生产制造;造好了的wafer就叫Chip,再拿去切割Dicing、封装Packaging、和测试Testing,最后出来的最小销售单位就是芯片了;拥有芯片自主产权的公司Fabless,将成品出售给客户,并向Foundry支付代工费用;这种纯粹代工,不涉及销售的方式在国际间较通常的称呼就叫硅代工Silicon Foundry;而在另一端,只做设计和销售的公司不做Fab,所以叫Fabless,拥有芯片的IP Intellectual Property;或者你财大气粗,从芯片设计到制造、封装,直到最后销售,什么都包圆的,就叫IDM Integrated Device Manufacture了;简单可以理解为:Fabless+ Foundry=IDM。我们知道,晶圆和wafer是一码事,尚未被“刻”的原材料wafer黑话又叫“大硅片“;做半导体材料的各个分支里,把沙子变成硅单晶棒的工序,黑话叫”拉棒“,再磨外圆、切片、倒角、打磨、进扩散炉,做成12”,或8英寸或6 Inches的wafer,送进Foundry里的Fab厂;Foundry里有精密的各类前道加工设备,加上细心的作业,最后出来的Chip才能达到艺术品一样的品质;Fab在Foundry内部也叫"晶圆区",如进去"Fab"之前须穿上防尘衣,等等;拜登一行在520刚穿着西装参观了三星的Fab,让人大跌眼镜;行家的解读是至少三个意思:一是给LAM和KLA打了广告;二是AMAT总是妄想和TEL合并之后迁都荷兰,这次特意让AMAT一面都不漏,给它提个醒,敲打一下;三是在最先进的存储产线居然不穿净化服,肯定人走了之后要花时间重新除尘,如果不是摆拍,三星产能肯定受到影响,正好借机宣布下一轮涨价;一趟政治意味浓重的参观又带出了一堆“黑话”,这次的都跟半导体设备厂家有关:AMAT就是Applied Material,又叫应用材料;LAM是“Lam Research”,又叫泛林半导体;KLA就是“KLA-Tencor”,又叫科磊;TEL就是“Tokyo Electron Limited”,又叫东京电子。作为半路出家的半导体人,好不容易把上面这些“黑话”掰扯清楚了,哪知道拔出萝卜带出泥,一条“黑话”需要更多的来支撑,所以新的又来了一大堆;在上面提到的Fabless设计领域,有个充满“痞气”的黑话叫“流片”,又叫 TapeOut;实际指的就是芯片的“试生产”;就是说设计完集成电路以后,先生产几片几十片,只供测试用;如果测试通过,就照着这个样子开始大规模生产了;上面提到的Mask,可以叫光刻掩模版;如果把光刻芯片工序看作“印钞”,Mask就是印刷的模板,这个“母版”就是半导体制程中的“印钞”模具;制造一颗芯片要用到的Mask绝对不止一张,现在的高级制程很容易就超过20张的;简单理解就是基本每加一层堆栈就上了个光罩,20层堆栈Multi-Patterning的芯片很可能需要20张Mask,每一层刻蚀完成就换上一张Mask;值得一提的是,在换下一张的Mask曝光之前,就是芯片多层堆栈结构量测的节点;前面提过,量测的对象是关键线宽,又叫关键尺寸,这里最关键的尺寸是LG Length of Gate,也就是要必须用到CD-SEM的节点了;场效应晶体管FET Field Effect Transistor制程中率先需要被安排在最底层的的三极结构中,通过掺杂Doping,使源极Source电性与底材P-Si相反的,就是漏极Drain,“黑话”也叫汲极;源漏之间的栅极Gate,充当开关的作用,所以又叫闸极;Gate不能太宽,更怕太窄,是关键尺寸量测的大头。芯片的良率,又叫Yield,的好坏取决于关键线宽的准确度;慢着,“Yield”不是我们“正常人”熟悉的术语“产额”吗?比如大家耳熟能详的二次电子SE和背散射电子BSE的产额……;所以这里需要吐槽的是,半导体的“黑话”是可以粗暴“跨界”的。上面提到的更换Mask之间的CDSEM线上检测,半导体“黑话”叫AEI蚀刻后检查,即After Etching Inspection;这个工序发生在刻蚀制程中光阻PR去除前和去除后之间,分别对产品实施主检或抽样检查;目的有四:一是提高产品良率Yield,避免不良品外流;二是达到品质的一致性和制程的重复性;三是显示制程能力的指标;四是防止异常扩大,节省成本。通常AEI检查出来的不良品,非必要时很少做修改;因为除去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加、生产成本增高,反而事与愿违,导致整体良率降低。再回来接着聊“流片”Tape out这个词,虽是“黑话”,但也不是能随便用的,往往在实验性生产和验证性生产中才用“流片”这个词;一般来说Tape out的模式有2大类:一类是多家拼一起的MPWMulti-Project Wafer,另一种是专用的全晶圆流片;前者因为成本低,一般实验流片用;后者成本高,一般用于批量生产。关于MPW,可以参考上海集成电路促进中心(ICC)的相关业务介绍:2010年1月21日,上海集成电路技术与产业促进中心就推出了这个“多项目晶圆”,也就是MPW服务了;可不要小看这个服务,MPW可以使流片费用降低九成以上;对广大的中小型Fabless初创企业是一大福音。这里顺便提一句,自从“川建国”同志到任之后,国内的Fabless公司一再蹿升,已经有了近万家了。下节我们接着聊些有趣的半导体“黑话”,我们会更加深入芯片制造的世界......
  • 欧姆龙开发出30秒快速检测半导体芯片的设备
    日本医疗保健设备和工厂自动化供应商欧姆龙公司正将目光投向利润丰厚的芯片制造设备市场,以推动未来的增长。欧姆龙将于明年春季推出一款X射线扫描仪,将更好地检测先进半导体制造中的缺陷,并提高全球芯片制造商的产量。VT-X950设备将生成具有足够分辨率的芯片3D图像,以识别1nm尺度的缺陷,至少比当前一流的硅制造技术领先一代。由于每次扫描仅需30秒,芯片制造商近乎实时地监控生产情况,并更有效地进行调整和修正。对于台积电和三星电子等制造商来说,良率(即每个硅片生产的无缺陷芯片的比例)是受到密切关注的指标——它影响着每家公司的成本和完成客户订单的速度。欧姆龙检查系统总经理Kazuhisa Shibuya表示:“半导体行业的需求趋势是小批量生产更多种类的芯片,但如果没有实时CT扫描,这在经济上是不可行的。”CT(计算机断层扫描)是医疗诊断的支柱,也已经成为芯片制造中重要的质量控制工具。拥有90年历史的欧姆龙,其8760亿日元(59亿美元)年收入的一半以上来自工厂自动化产品,该公司于2012年发布VT-X900,首次进入半导体供应链。Kazuhisa Shibuya表示,这仍然是其业务的一小部分,主要局限于几家主要芯片制造商。Kazuhisa Shibuya认为,随着芯片变得越来越复杂、制造成本越来越高,需求将会增长。在仅仅几平方厘米的区域内,制造商需要编写比人的头发还细的金属线,并沉积数千个纳米级焊料凸点。将晶体管堆叠成三维结构的新技术——例如台积电和三星的(GAA)环栅架构——提高了精度要求。Omdia分析师Akira Minamikawa表示:“半导体制造过程中对CT扫描的需求非常迫切。随着行业追求芯片缩小和Chiplet(小芯片)技术,所需的键合技术水平飙升,特别是在过去几年。”当今需求最大的芯片是英伟达的顶级人工智能(AI)加速器,但台积电先进封装的生产能力却遇到了瓶颈。在这种情况下,质量控制和产量提高变得至关重要,因为微小的偏差都可能使售价数万美元的芯片变得一文不值。对制造出来的芯片进行X射线检查可以帮助检测缺陷,并允许工人根据需要微调流程。索尼集团此前表示,其最新智能手机摄像头传感器的量产遇到了麻烦,最终导致该公司的营业利润前景下降了15%。一般来讲,芯片制造商依靠所谓的功能测试来判断设备是否能按设计运行。CT也已被使用,但速度要慢得多:从生产线拾取样品单元,在单独的房间进行X射线检查,每次可能需要长达一个小时。东洋证券分析师Hideki Yasuda表示,对速度更快的检查设备的需求将急剧增加。尖端芯片制造的成本将要求更多的实时监控,以最大限度地减少硅浪费。Kazuhisa Shibuya表示,欧姆龙的CT扫描仪是芯片制造商在其装配线上安装的唯一现实选择,因为没有其他设备可以实时生成高质量的CT图像。与欧姆龙之前的型号相比,最新型号将扫描时间缩短了一半。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制