当前位置: 仪器信息网 > 行业主题 > >

紫外光刻机

仪器信息网紫外光刻机专题为您提供2024年最新紫外光刻机价格报价、厂家品牌的相关信息, 包括紫外光刻机参数、型号等,不管是国产,还是进口品牌的紫外光刻机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合紫外光刻机相关的耗材配件、试剂标物,还有紫外光刻机相关的最新资讯、资料,以及紫外光刻机相关的解决方案。

紫外光刻机相关的资讯

  • 长春光机所承担的国家科技重大专项项目“极紫外光刻关键技术研究”通过验收
    p   6月21日,“极大规模集成电路制造装备及成套工艺”国家科技重大专项(02专项)实施管理办公室组织专家在中国科学院长春光学精密机械与物理研究所召开了“极紫外光刻关键技术研究”项目验收会。评审专家组充分肯定了项目取得的一系列成果,一致同意项目通过验收,认为该项目的顺利实施将我国极紫外光刻技术研发向前推进了重要一步。 /p p   极紫外(Extreme Ultraviolet,EUV)光刻是一种采用波长13.5nm极紫外光为工作波长的投影光刻技术,是传统光刻技术向更短波长的合理延伸。作为下一代光刻技术,被行业赋予拯救摩尔定律的使命。极紫外光刻光学技术代表了当前应用光学发展最高水平,作为前瞻性EUV光刻关键技术研究,项目指标要求高,技术难度大、瓶颈多,创新性高,同时国外技术封锁严重。 /p p   长春光机所自上世纪九十年代起专注于EUV/X射线成像技术研究,着重开展了EUV光源、超光滑抛光技术、EUV多层膜及相关EUV成像技术研究,形成了极紫外光学的应用技术基础。2002年,研制国内第一套EUV光刻原理装置,实现了EUV光刻的原理性贯通。2008年国家“极大规模集成电路制造装备及成套工艺”科技重大专项将EUV光刻技术列为“32-22nm装备技术前瞻性研究”重要攻关任务。长春光机所作为牵头单位承担起了“极紫外光刻关键技术研究”项目研究工作,成员包括中科院光电技术研究所、中科院上海光学精密机械研究所、中科院微电子研究所、北京理工大学、哈尔滨工业大学、华中科技大学。 /p p   项目研究团队历经八年的潜心钻研,突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。建立了较为完善的曝光光学系统关键技术研发平台,圆满完成国家重大专项部署的研究内容与任务目标,实现EUV 光学成像技术跨越,显著提升了我国极紫外光刻核心光学技术水平。同时,项目的实施形成了一支稳定的研究团队,为我国能够在下一代光刻技术领域实现可持续发展奠定坚实的技术与人才基础。 /p p   验收会上,长春光机所所长贾平诚挚地感谢了与会专家及各合作单位对项目的大力支持。贾平指出从时机及技术难度方面考虑,EUV项目的布局正处于窗口期,希望国家给予持续稳定的支持。鼓励项目参研单位进一步发挥EUV学科优势,鼓足勇气并肩奋斗,在后续支持下取得更好的成果。 /p p   02专项总体组技术总师、中科院微电子所所长叶甜春做总结发言。叶甜春强调,在国际上EUV光刻大生产基地已经建立的形势下,我国EUV光刻研究要继续坚持下去,面向未来产业工程化需求,着力点要放在必须掌握的核心技术和有可能取得创新的突破点。此外,叶甜春评价光刻机队伍是承担最核心、最高端、最艰巨任务的队伍,也是专项团队中最有战斗力、最能抗压、最值得信任的主力部队。鼓励项目团队肩负重大任务的责任与使命感,继续坚持勇攀高峰。 /p p   02专项光刻机工程指挥部总指挥、前科技部副部长曹健林到会并致辞。作为国内最熟悉EUV光刻的领域专家,曹健林对我国EUV光刻技术能力的提升感到欣喜,他认为中国已初步具备光刻技术的研发能力,并向着产业化目标前进,30年前的“中国光刻梦”正在逐步变为现实,通过我国光刻技术研发能力的建设初步树立了坚持“中国光刻梦”的信心。 /p
  • 151万!广西师范大学计划采购无掩模板紫外光刻机、气相色谱仪等仪器
    一、项目基本情况  项目编号:GXZC2022-J1-002004-GXJX  项目名称:广西师范大学凝聚态物理科研设备采购项目  采购方式:竞争性谈判  预算总金额(元):1511800  采购需求:  标项名称:广西师范大学凝聚态物理科研设备采购  数量:1  预算金额(元):1511800  简要规格描述或项目基本概况介绍、用途:无掩模板紫外光刻机、气相色谱仪、低温恒温器、1200℃双温区开启式管式炉等设备,如需进一步了解详细内容,详见竞争性谈判文件中《采购项目技术规格、参数及要求》。  最高限价(如有):1511800  合同履约期限:自签订合同之日起120个日历日内必须到货,并全部安装调试合格完毕。  本项目(否)接受联合体投标  备注:/  二、申请人的资格要求:  1.满足《中华人民共和国政府采购法》第二十二条规定;  2.落实政府采购政策需满足的资格要求:无  3.本项目的特定资格要求:无  三、获取采购文件  时间:2022年07月11日至2022年07月15日,每天上午00:00至12:00,下午12:00至23:59(北京时间,法定节假日除外)  地点(网址):http://www.zcygov.cn(政采云平台)  方式:供应商登录政采云平台https://www.zcygov.cn/在线申请获取采购文件(进入“项目采购”应用,在获取采购文件菜单中选择项目,申请获取采购文件)。如在操作过程中遇到问题或需技术支持,请致电政采云客服热线:400-881-7190 。  售价(元):0  四、响应文件提交  截止时间:2022年07月15日 09:30(北京时间)  地点(网址):通过“政采云”平台在线提交响应文件。  五、响应文件开启  开启时间:2022年07月15日 09:30(北京时间)  地点:广西建信建设项目管理有限公司开标室(广西桂林市秀峰区翠竹路77号耀和荣裕写字楼2栋13楼)通过“政采云”平台在线解密开启。  六、公告期限  自本公告发布之日起3个工作日。  七、其他补充事宜  1.对在“信用中国”网站(www.creditchina.gov.cn)、中国政府采购网(www.ccgp.gov.cn)等渠道列入失信被执行人、重大税收违法案件当事人名单、政府采购严重违法失信行为记录名单及其他不符合《中华人民共和国政府采购法》第二十二条规定条件的供应商,不得参与政府采购活动。  2.单位负责人为同一人或者存在直接控股、管理关系的不同供应商,不得参加同一合同项下的政府采购活动。除单一来源采购项目外,为本采购项目提供整体设计、规范编制或者项目管理、监理、检测等服务的供应商,不得再参加该采购项目的其他采购活动。  3.本项目需要落实的政府采购政策:  3.1本项目非专门面向中小微企业采购,《政府采购促进中小企业发展管理办法》(财库[2020]46号)、《广西壮族自治区财政厅关于贯彻落实政府采购支持中小企业发展政策的通知》(桂财采〔2022〕31号)。  3.2《关于政府采购支持监狱企业发展有关问题的通知》(财库[2014]68号)。  3.3《关于促进残疾人就业政府采购政策的通知》(财库[2017]141号)。  4.信息公告发布媒体:http://www.ccgp.gov.cn(中国政府采购网)、http://zfcg.gxzf.gov.cn(广西壮族自治区政府采购网)。  5.响应文件解密:响应文件提交截止时间后,“政采云”平台自动提取所有供应商响应文件,各供应商须在提交响应文件截止后30分钟内(2022年7月15日9时30至10时00分),登录“政采云”平台,通过“项目采购-开标评标”功能解密电子响应文件。若供应商在规定时间内无法解密或解密失败或超时解密的,系统默认自动放弃,响应文件按无效响应文件处理。  6.本项目需要供应商代表在响应文件提交截止时间当天,按谈判小组要求及时登陆“政采云”平台等候在线谈判及提交最后报价。  7.“政采云”平台在线响应(电子响应)相关事宜说明:  7.1本项目实行全流程电子化采购,供应商通过“政采云”平台参与在线响应(电子响应),并应做好以下相关准备工作:①在“政采云”平台注册成为正式供应商(操作方法详见广西壮族自治区政府采购网—办事服务—办事指南);②完成CA证书申领和绑定(费用由供应商自行承担,办理流程详见广西壮族自治区政府采购网—办事服务—下载专区,完成CA证书办理预计一周左右,建议供应商尽快办理);③下载“广西壮族自治区全流程电子招投标项目管理系统--供应商客户端”(操作方法详见广西壮族自治区政府采购网—办事服务—下载专区,以下称“政采云电子投标客户端”)并安装成功,供应商应当在提交响应文件截止时间前在“政采云”平台完成的身份认证,确保能够对相关数据电文进行加密和使用电子签章;④自备计算机和网络设备并确保能接入互联网(费用由供应商自行承担,设备确保可进行视频通话和读取政采云CA证书)。因供应商未做好相关准备工作等自身原因导致无法参加本项目在线响应(电子响应)或响应失败的,造成的一切后果,由供应商自行承担。  7.2在线响应(电子响应)具体操作流程参考《政府采购项目电子交易管理操作指南-供应商》(详见广西壮族自治区政府采购网—办事服务—下载专区-广西壮族自治区全流程电子招投标项目管理系统--供应商客户端);如遇平台技术问题详询400-881-7190。  7.3电子响应标文件的制作、加密、提交等相关事宜详见第二章“谈判供应商须知”。  八、凡对本次招标提出询问,请按以下方式联系  1.采购人信息  名 称:广西师范大学  地 址:广西桂林市雁山区雁中路1号  项目联系人:辛裕煜  项目联系方式:0773-3696563  2.采购代理机构信息  名 称:广西建信建设项目管理有限公司  地 址:广西桂林市秀峰区翠竹路77号耀和荣裕写字楼2栋13楼  项目联系人(询问):邓桂艳  项目联系方式(询问):0773-2886298
  • 极紫外光刻新技术能大幅提高能源效率降低制造成本
    据科技日报报道称,日本冲绳科学技术大学院大学(OIST)官网最新报告,该校设计了一种极紫外(EUV)光刻技术,超越了半导体制造业的标准界限。基于此设计的光刻设备可采用更小的EUV光源,其功耗还不到传统EUV光刻机的十分之一,从而降低成本并大幅提高机器的可靠性和使用寿命。在传统光学系统中,例如照相机、望远镜和传统的紫外线光刻技术,光圈和透镜等光学元件以轴对称方式排列在一条直线上。这种方法并不适用于EUV射线,因为它们的波长极短,大多数会被材料吸收。因此,EUV光使用月牙形镜子引导。但这又会导致光线偏离中心轴,从而牺牲重要的光学特性并降低系统的整体性能。为解决这一问题,新光刻技术通过将两个具有微小中心孔的轴对称镜子排列在一条直线上来实现其光学特性。由于EUV吸收率极高,每次镜子反射,能量就会减弱40%。按照行业标准,只有大约1%的EUV光源能量通过10面反射镜最终到达晶圆,这意味着需要非常高的EUV光输出。相比之下,将EUV光源到晶圆的反射镜数量限制为总共4面,就能有超过10%的能量可以穿透到晶圆,显著降低了功耗。新EUV光刻技术的核心投影仪能将光掩模图像转移到硅片上,它由两个反射镜组成,就像天文望远镜一样。团队称,这种配置简单得令人难以想象,因为传统投影仪至少需要6个反射镜。但这是通过重新思考光学像差校正理论而实现的,其性能已通过光学模拟软件验证,可保证满足先进半导体的生产。团队为此设计一种名为“双线场”的新型照明光学方法,该方法使用EUV光从正面照射平面镜光掩模,却不会干扰光路。
  • 中科院微电子所在极紫外光刻基板缺陷补偿方面取得新进展
    近日,微电子所集成电路先导工艺研发中心在极紫外光刻基板缺陷补偿方面取得新进展。 与采用波长193nm的深紫外(DUV)光刻使用的掩模不同,极紫外(EUV)光刻的掩模采用反射式设计,其结构由大约由40层Mo和Si组成的多层膜构成。在浸没式光刻技术的技术节点上,基板制造和掩模制造已足够成熟,掩模缺陷的密度和尺寸都在可接受的水平。但是在EUV光刻系统中,由于反射率及掩模阴影效应的限制,掩模基板缺陷是影响光刻成像质量、进而导致良率损失的重要因素之一。 基于以上问题,微电子所韦亚一研究员课题组与北京理工大学马旭教授课题组合作,提出了一种基于遗传算法的改进型掩模吸收层图形的优化算法。该算法采用基于光刻图像归一化对数斜率和图形边缘误差为基础的评价函数,采用自适应编码和逐次逼近的修正策略,获得了更高的修正效率和补偿精度。算法的有效应性通过对比不同掩模基板缺陷的矩形接触孔修正前后的光刻空间像进行了测试和评估,结果表明,该方法能有效地抑制掩模基板缺陷的影响,提高光刻成像结果的保真度,并且具有较高的收敛效率和掩模可制造性。 基于本研究成果的论文Compensation of EUV lithography mask blank defect based on an advanced genetic algorithm近期发表在《光学快报》期刊上[Optics Express, Vol. 29, Issue 18, pp. 28872-28885 (2021),DOI: 10.1364/OE.434787],微电子所博士生吴睿轩为该文第一作者。微电子所韦亚一研究员为该文通讯作者。此项研究得到国家自然科学基金、国家重点研究开发计划、北京市自然科学基金、中科院的项目资助。图1 (a)优化算法流程 (b)自适应分段策略样例 (c) 自适应分段的合并与分裂 图2 (a)对不同大小的基板缺陷的补偿仿真结果 (b) 对不同位置的基板缺陷的补偿仿真结果 (c) 对复杂图形的基板缺陷的补偿仿真结果 (d) 对不同位置的基板缺陷的补偿、使用不同优化算法,目标函数收敛速度的比较
  • 王麒:支持数千瓦极紫外自由电子激光光源及光刻验证装置布局成都科学城并纳入“十四五”规划
    据红星新闻报道,全国人大代表、四川省工商联副主席,四川启阳汽车集团有限公司董事长王麒提交了《关于支持成都科学城加快布局建设天府(国家)实验室的建议》,建言聚焦空天科技、生命科学、先进核能、电子信息等关键领域推动国家实验室集中布局成都科学城,突破一批‘卡脖子’技术问题。王麒 (图源 红星新闻)其中,王麒建议国家发改委、科技部优先在成都科学城布局建设大科学装置、国家级大科学工程。“支持数千瓦极紫外自由电子激光光源及光刻验证装置、电磁驱动聚变大科学装置、超高速低真空磁浮交通及动模研究平台、超高通量多功能堆研究设施、跨尺度矢量光场时空调控验证装置布局成都科学城并纳入国家‘十四五’重大科技基础设施建设规划,打造更多抢占制高点的川版‘国之重器’,建设国际一流重大科技基础设施集群。”据了解,成都科学城科技创新项目重点项目“数千瓦极紫外自由电子激光光源及光刻验证装置”由中国工程物理研究院第十研究所承担,总投资约41亿元,拟通过“数千瓦极紫外自由电子激光光源及光刻验证装置”,建立大功率极紫外光源,通过光刻光源预处理系统及光刻验证系统,验证自由电子激光用于光刻的各种关键物理及工程问题,完成10nm节点光刻演示验证,建立首台千瓦极紫外光刻工程测试样机,为我国掌握大规模极紫外光刻(EUV)生产能力、突破芯片制造“卡脖子”问题提供条件。项目拟于2021年启动建设,2026年底完成验收。目前,极紫外光源是制约我国EUV光刻机的关键部分。而国内各种EUV光源的研究也在逐步进行中。而目前我国EUV光源受制于功率限制,无法应用于工业量产,而工业生产至少需要达到250W功率,ASML实验室已经达到了1kW的EUV光源功率。
  • 北大新型电镜技术助力国产光刻机攻关
    北京大学量子材料科学中心高鹏研究组基于扫描透射电子显微镜发展了四维电子能量损失谱技术,突破了传统谱学手段难以在纳米尺度表征晶格动力学的局限,首次实现了半导体异质结界面处局域声子模式的测量,近日更是被《半导体学报》列为2021年度中国半导体十大研究进展。这项科技成果的诞生,不仅是我国高端科学仪器领域的一个重要突破,更为实现国产EUV光刻机、掌握芯片核心技术、攻克国产半导体核心技术壁垒增添了动力。四维电子能量损失谱测量界面晶格动力学(a)实验原理示意图;(b)实验测得的声子局域态密度空间分布;(c)界面模式的色散关系。芯片的重要性不用再说,一直被称为“现代工业的粮食”。芯片生产流程中最复杂、最关键的工艺步骤“光刻”需要光刻机来完成,因此,光刻机又被称为“现代光学工业之花”,是盘活国产半导体行业的“齿轮”。光刻机科技含量高,制造工序复杂,仅荷兰ASML的一款EUV光刻机就需要来自全球35个国家5000多家企业的10万多个元器件,并且其中90%的零部件也都是依赖于进口。目前,全球仅有三个国家的四家公司能够制造,荷兰ASML与日本的佳能、尼康占据了全球光刻机市场99%的份额。其中,ASML市场份额常年高达60%以上,呈现霸主垄断地位,并且完全地垄断了超高端光刻机领域;日本的尼康和佳能曾经非常领先,但后来被ASML超越,现在只能生产中低端光刻机;我国的上海微电子的封测光刻机做得不错,但前道光刻机还有差距。说完市场再论技术EUV光刻机一般指极紫外线光刻机,是生产7nm工艺以下芯片的必要设备。制造EUV光刻机最为关键的三大核心组件包括极紫外光源、双工件台和镜头。攻克这三关,制造EUV光刻机指日可待。极紫外光源是顶级光源,ASML在2012年通过收购美企Cymer掌握了此项技术。我国的上海光机所和长春光机所已经突破了14纳米极紫外光源技术,即将转入到实际成果的投用环节。双工件台属于精密仪器制造技术,之前是ASML独家掌握的技术,目前清华旗下的华卓精科已经实现突破,成为了全球第二家掌握光刻机双工件台技术的企业,已成功供货上海微电子。三大核心组件中,最重要的是高精度镜头,一直被德国蔡司垄断。就算是光刻机巨头ASML的镜头也得依赖德国蔡司,而且30多年来,ASML对蔡司的依赖越来越严重。EUV光学镜头由于技术壁垒高、突破难度大。因为都是欧洲企业,ASML可以依赖蔡司,我们中国却不能,因为《瓦森纳协议》。如今,ASML想要研发更先进的EUV光刻机,就需要更大数值孔径的镜头。但这对蔡司来说也是一个挑战,毕竟数值越大,制作难度就越大。德国蔡司的镜头是人工打磨,属于传统谱学制成的EUV光镜。而EUV光镜决定着EUV光刻机的制程上限,基于传统谱学的EUV光镜很难满足硅基半导体未来发展的需求。即使是非常厉害的蔡司,往更高精度发展,也会越来越难以保证。现下,北京大学掌握的这项新型扫描透射电子显微镜技术,就可以规避传统光谱镜头的局限性,能向更高精度发展。简单来说,光学镜头做不到的,电子镜头能做到。光学镜头能做到的,电子镜头也能做到,而且精确性更高。加上上海光机所、长春光机所的极紫外光源技术和华卓精科双工件台技术,我国围绕EUV光刻机的相关技术正在陆续突破,接下就是提高精度,实现合围了。国内光刻机技术的陆续突破,主要源于我们早就布局,并不是近年来才起步。这跟我们的高端科学仪器产业链一样,各项技术环节都在攻坚,相信不远的未来一定能够实现突破。
  • ASML首台0.55NA光刻机正式交付Intel
    近日,荷兰光刻机巨头ASML公司宣布,优先向Intel公司交付其新型高数值孔径(High NA EUV)的极紫外光刻机(可能是Twinscan EXE:5200)。根据目前公开可查到的信息,这台光刻机为新型高数值孔径极紫外光刻机,成本要超过3亿美元。体积有一整个卡车车箱大小,是目前最先进的光刻机。而这台光刻机的研发过程,整整持续了10年。英特尔是全球首家获得ASML 该最新型号的高数值孔径的极紫外光刻机的芯片巨头。目前,这台光刻机已从ASML荷兰总部发出,预计很快就可以交到英特尔手中。但这台光刻机要到2026年或2027年,才能真正用到商业芯片制造中。据了解,ASML新交付的高数值孔径光刻机,是NA 0.55的EUV光刻机,是初代EUV NA 0.33的进化版,其核心优点是: half pitch 从13nm—8nm,可以认为是分辨率有大幅提升,主要应用为中段MOL的金属互联,可能会用于GAA的前道。但缺点在于,景深DOF大幅下降,对wafer平整度和翘曲要求更高;field size也有下降,大的芯片要做图案缝合stitching或者改成chiplet小芯片。有消息称,ASML阿斯麦将在2024年生产最多10台新一代高NA(数值孔径) EUV极紫外光刻机,其中Intel就定了多达6台。
  • 国产光刻机及关键核心零部件研发进展
    p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 光刻机被业界誉为集成电路产业皇冠上的明珠,研发的技术门槛和资金门槛非常高。也正是因此,能生产高端光刻机的厂商非常少,到最先进的EUV光刻机就只剩下ASML。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 据ASML之前公布资料显示,ASML 是全世界唯一一家使用极紫外EUV光源的光刻机制造商。EUV光源波长只有13.5 nm(接近X射线水平),远大于DUV光刻机的193nm,目前用于台积电最先进的5 nm生产线。相比之下,国内光刻机厂商则显得非常寒酸,处于技术领先的上海微电子装备有限公司已量产的最先进的SSA600/20型号前道光刻机采用了ArF准分子光源,即深紫外DUV光刻机,光刻分辨率只有90 nm。有消息称上海微电子即将于2021年,也就是几个月之后会交付首台国产的分辨率达28 nm的光刻机,目前国内晶圆厂所需的高端光刻机完全依赖进口。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 随着贸易战的愈演愈烈,美国对华为的打压也蔓延到了半导体领域,国内先进光刻机采购遭遇重大阻力。同时由于《瓦森纳协定》的限制,即使突破了技术,能够制造先进光刻机,其核心零部件的进口也可能会受到限制。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 任正非最近也表示,“我们设计的先进芯片,国内的基础工业还造不出来,我们不可能又做产品,又去制造芯片”。面对先进光刻机受制于人的局面国产光刻机的研发牵动着国人的心,启动国产光刻机的研发已刻不容缓。于此同时,国内也不断传来关于光刻机研发的各种消息& #8230 & #8230 /span /p p style=" text-align:center text-indent:29px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-size: 15px line-height: 150% font-family: 宋体 " 网传华为自研光刻机 /span /strong strong /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 今年以来,网上各路自媒体传出华为启动自研光刻机的消息,不过这些消息大都是捕风捉影,真实性存疑。其来源主要基于以下几个消息: /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 1、& nbsp 华为申请光刻机专利。据了解,该专利名称是《一种光刻设备和光刻系统》,申请于2016年。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2、华为大批挖角上海微电子等企业的员工。不过后续相关消息称,华为只是少量挖掘,人员数量并不足以支撑研发。但这也让上海微电子(SMEE)未离职的前道部门工资奖金翻了一倍。根据相关消息,为激励员工,SMEE薪资大调整,前道各部门计划从今年9月开始实行12(基本工资)+2(个人绩效)+6-12(前道产品绩效)薪资结构了。相比于过去年薪12+2能拿到20多万,如果按时完成任务的话,现在加上奖金能拿到40多万。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 3、华为招聘光刻工艺工程师。但从职位描述看,招聘的是研究2.5d tsv方面封装技术的工艺工程师,该技术会使用到光刻设备。华为芯片的封装测试是外包给封测厂进行的,该岗位可能是进行试验室封装技术的研发和经验积累,协助推动在封测厂的量产。目前我国缺少和亟待突破的是先进制程的前道光刻机。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 业内人士表示,华为虽然技术研发能力,公司氛围都很强大,但光刻机技术门槛高,单打独斗很难成功。目前关于华为自研光刻机的消息虽然大都是捕风捉影,但是华为的研发实力也不容小觑,毕竟华为有强烈的需求,而余承东也表示华为将入局半导体设备。 /span /p p style=" text-align:center text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong 02 /strong strong span style=" font-family: 宋体 " 专项核心零部件研发进展 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 9月16日,中科院院长白春礼在接受媒体采访时明确表示,中科院已成立光刻机攻关小组,争取在短时间内研制出国产高端光刻机。除此之外,中科院也针对“卡脖子”问题,列入了技术清单,并且均已成立研发小组。实际上中科院以及相关科研机构很早就介入了光刻机研发领域。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键元器件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。上海微电子只能另辟蹊径,转入技术含量较低的后道封装光刻机和平板显示光刻机领域,占领了国内封装光刻机80%的市场。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 面对国外封锁,国内科研机构开始发力,针对光刻机的核心零部件进行攻关。在“十二五”期间,著名的“02专项”即《极大规模集成电路制造技术及成套工艺》要求重点进行45-22纳米关键制造装备攻关,部分光刻机核心零部件也已实现了验收。国家02专项光刻机项目有多个部门参与,分别负责不同的子项。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " 双工件台系统完成验收 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 双工件台,即在一台光刻机内有两个承载晶圆的工件台。两个工件台相互独立,但同时运行,一个工件台上的晶圆做曝光时,另一个工件台对晶圆做测量等曝光前的准备工作。当曝光完成之后,两个工件台交换位置和职能,如此循环往复实现光刻机的高产能。该项目由清华大学和北京华卓精科负责 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2019年4月28日,清华成功研发光刻机双工件台掩模台系统α样机,并召开光刻机双工件台系统样机研发”项目验收会。研究团队历经5年完成了全部研究内容,突破了平面电机、微动台、超精密测量、超精密运动控制、系统动力学分析、先进工程材料制备及应用等若干关键技术,攻克了光刻机工件台系统设计和集成技术,通过多轮样机的迭代研发,最终研制出2套光刻机双工件台掩模台系统α样机,达到了预定的全部技术指标,关键技术指标已达到国际同类光刻机双工件台的技术水平。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 该项目是02专项核心任务光刻机项目群中第一个通过正式验收的项目。项目完成使得我国成为世界少数可以研制光刻机双工件台这一超精密机械与测控技术领域尖端系统的国家之一。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " “极紫外光刻关键技术研究”通过验收 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 极紫外光刻是一种以13.5nm的EUV光为工作波长的投影光刻技术,目前最先进的芯片就是使用ASML的EUV光刻机制造。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2016年11月15日,由长春光机所牵头承担的国家科技重大专项02专项——“极紫外光刻关键技术研究”项目顺利完成验收前现场测试。在长春光机所、成都光电所、上海光机所、中科院微电子所、北京理工大学、哈尔滨工业大学、华中科技大学等参研单位的共同努力下,历经八年的戮力攻坚,圆满地完成了预定的研究内容与攻关任务,突破了现阶段制约我国极紫外光刻发展的核心光学技术,初步建立了适应于极紫外光刻曝光光学系统研制的加工、检测、镀膜和系统集成平台,为我国光刻技术的可持续发展奠定了坚实的基础。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2017年6月21日,中国科学院长春光学精密机械与物理研究所(现北京国望光学)牵头研发的“极紫外光刻关键技术”通过验收。突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " “超分辨光刻装备研制”通过验收 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2018年11月29日,国家重大科研装备研制项目“超分辨光刻装备研制”29日通过验收。该光刻机由中国科学院光电技术研究所研制,光刻分辨力达到22纳米,结合双重曝光技术后,未来还可用于制造10纳米级别的芯片。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 该光刻机在365纳米光源波长下,单次曝光最高线宽分辨力达到22纳米。项目在原理上突破分辨力衍射极限,建立了一条高分辨、大面积的纳米光刻装备研发新路线,绕过国外相关知识产权壁垒。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 利用研制成功的超分辨光刻装备已制备出一系列纳米功能器件,包括大口径薄膜镜、超导纳米线单光子探测器、切伦科夫辐射器件、生化传感芯片、超表面成像器件等,验证了该装备纳米功能器件加工能力,已达到实用化水平。不过需要注意的是,该设备为超材料/超表面、第三代光学器件、广义芯片等变革性战略领域的跨越式发展提供了制造工具。简单来说,该设备主要应用于器件进行周期性的光刻,但无法应用于集成电路光刻。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " 其他项目紧锣密鼓进行中 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 除了以上已经完成的02专项子项目,其他的项目也在紧锣密鼓进行中: /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 浙江大学流体动力与机电系统国家重点实验室和浙江启尔机电负责沉浸式光刻机的浸液系统,目前水平排名世界第三,前两名分别为阿斯麦、尼康; /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 中科院光电研究院负责准分子激光光源系统,由北京科益虹源负责产业转化,研究成果国产40W 4kHz ArF光源已经交付,是继美国Cymer公司(已于2013年被阿斯麦收购)、日本Gigaphoton 公司之后的全球第三; /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 物镜曝光系统方面,长春光机所应用光学国家重点实验室和国防科技大学光学精密工程创新团队负责;激光光源照明系统方面,中国科学院上海光学精密机械研究所负责。 /span /p p style=" text-align:center line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " 其他团队光刻机研究进展 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 据悉,武汉光电院甘棕松团队采用二束激光在自研的光刻胶上突破了光束衍射极限的限制,采用远场光学的办法,光刻出最小9纳米线宽的线段,实现了从超分辨成像到超衍射极限光刻制造的重大创新,研发出了双光束高分辨率激光直写光刻机。目前甘棕松团队正在做双光束超分辨率投影式光刻机大型工程机的研发。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 需要注意的是一般投影式光刻机才可以进行有效率的芯片制造,而甘棕松团队的光刻机是直写式光刻机,无法实现大规模量产。一般来说,直写式光刻设备主要用于掩模版制作,如电子束刻蚀设备,其优点是分辨率高,缺点是速度慢,无法用于大规模量产。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 据业内媒体消息披露,上海微电子将于2021年-2022年交付第一台28nm工艺的国产沉浸式光刻机。这意味着我国的先进光刻机已经实现了技术突破,但可以实现更高制程的EUV光刻机仍然任重而道远。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " “我们从古以来,就有埋头苦干的人,有拼命硬干的人,有为民请命的人,有舍身求法的人,& #8230 & #8230 虽是等于为帝王将相作家谱的所谓& quot 正史& quot ,也往往掩不住他们的光耀,这就是中国的脊梁& #8230 & #8230 ”伴随着国家队入场和科研人员的“负重前行”,相信不久的将来必能不断传出好消息。 /span /p p br/ /p
  • 清华团队新成果在《自然》发表:有望解决光刻机自主研发难题
    2月25日,清华大学工程物理系教授唐传祥研究组与来自亥姆霍兹柏林材料与能源研究中心(HZB)以及德国联邦物理技术研究院(PTB)的合作团队在《自然》(Nature)上发表了题为《稳态微聚束原理的实验演示》(Experimental demonstration of the mechanism of steady-state microbunching)的研究论文,报告了一种新型粒子加速器光源“稳态微聚束”(Steady-state microbunching,SSMB)的首个原理验证实验。基于SSMB原理,能获得高功率、高重频、窄带宽的相干辐射,波长可覆盖从太赫兹到极紫外(EUV)波段,有望为光子科学研究提供广阔的新机遇。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。“我国EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的‘卡脖子’难题。”唐传祥说。SSMB原理验证实验示意图。 图源《自然》SSMB原理验证实验结果。 图源《自然》光刻机是芯片制造中必不可少的精密设备SSMB概念由斯坦福大学教授、清华杰出访问教授赵午与其博士生Daniel Ratner于2010年提出。赵午持续推动SSMB的研究与国际合作。2017年,唐传祥与赵午发起该项实验,唐传祥研究组主导完成了实验的理论分析和物理设计,并开发测试实验的激光系统,与合作单位进行实验,并完成了实验数据分析与文章撰写。唐传祥教授和HZB的Jörg Feikes博士为论文通讯作者,清华工物系2015级博士生邓秀杰为论文第一作者。“SSMB光源的潜在应用之一是作为未来EUV光刻机的光源,这是国际社会高度关注清华大学SSMB研究的重要原因。”唐传祥介绍。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。光刻机的曝光分辨率与波长直接相关,半个多世纪以来,光刻机光源的波长不断缩小,芯片工业界公认的新一代主流光刻技术是采用波长为13.5纳米光源的EUV(极紫外光源)光刻。EUV光刻机工作相当于用波长只有头发直径一万分之一的极紫外光,在晶圆上“雕刻”电路,最后将让指甲盖大小的芯片包含上百亿个晶体管,这种设备工艺展现了人类科技发展的顶级水平。荷兰ASML公司是目前世界上唯一的EUV光刻机供应商,每台EUV光刻机售价超过1亿美元。新成果有望解决自主研发光刻机的“卡脖子”难题唐传祥介绍,大功率的EUV光源是EUV光刻机的核心基础。目前ASML公司采用的是高能脉冲激光轰击液态锡靶,形成等离子体然后产生波长13.5纳米的EUV光源,功率约250瓦。而随着芯片工艺节点的不断缩小,预计对EUV光源功率的要求将不断提升,达到千瓦量级。“简而言之,光刻机需要的EUV光,要求是波长短,功率大。”唐传祥说,“大功率EUV光源的突破对于EUV光刻进一步的应用和发展至关重要。基于SSMB的EUV光源有望实现大的平均功率,并具备向更短波长扩展的潜力,为大功率EUV光源的突破提供全新的解决思路。”唐传祥指出,EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的“卡脖子”难题。这需要SSMB EUV光源的持续科技攻关,也需要上下游产业链的配合,才能获得真正成功。《自然》评阅人对该研究高度评价,认为 “展示了一种新的方法论”,“必将引起粒子加速器和同步辐射领域的兴趣”。《自然》相关评论文章写到“该实验展示了如何结合现有两类主要加速器光源——同步辐射光源及自由电子激光——的特性。SSMB光源未来有望应用于EUV光刻和角分辨光电子能谱学等领域。”目前,清华正积极支持和推动SSMB EUV光源在国家层面的立项工作。清华SSMB研究组已向国家发改委提交“稳态微聚束极紫外光源研究装置”的项目建议书,申报“十四五”国家重大科技基础设施。
  • ASML最新光刻机曝光:20亿一台
    众所周知,制造7nm及以下工艺的芯片,需要用到EUV光刻机,而全球仅有ASML能够生产。ASML在2015年,就推出了第一代EUV光刻机WINSCAN NXE:3400B,之后在2019年推出了NXE:3400C,2021年推出了NXE:3600D。不过据称,NXE:3600D型号的EUV光刻机,支持的工艺可能仅到3nm,如果要制造2nm的芯片,光刻精度还要提升,需要新一代的High-NA极紫外光刻机才行。而光刻精度怎么提升,就是数值孔径的提升了, 前几代光刻机,比如3400B/C、3600D的数值孔径都是0.33NA的,解析度(精度)为13nm,单次构图间距为32nm到30nm。而要生产2nm的芯片,数值孔径要变为0.55NA,也就是解析度(精度)为8nm,这样可以更更快更好地曝光更复杂的集成电路图案,同时单次构图间距低于30nm。这种新的EUV光刻机叫做型号,就叫做EXE:5200,目前ASML已经有了规划,预计在2024年底,或者2025年交付。而基于0.55NA数值孔径的光刻机,光刻分辨率将允许芯片缩小1.7倍、同时密度增加2.9倍,其处理晶圆的能力是每小时处理220片12寸晶圆左右,真正用于制造3nm以下的芯片。一小时处理220片12寸的晶圆,其产能有多大?如果是苹果A16这样的芯片,一块晶圆可以切割600块左右,理论上一台这样的光刻机,一年可以就光刻10亿颗以上… … 至于价格方面,ASML表示,其0.55NA的下一代EUV光刻机单价将达到3亿多美元(约合20亿元人民币)。至于买家,当然只有台积电、三星、英特尔三家才有资格购买,其它的晶圆厂,能够买到0.33NA的EUV光刻机,就已经非常不错了,不要想这种0.55NA的。当然,如果不生产7nm及以下的晶圆,EUV光刻机都不需要,DUV就够了,更就不用纠结这3亿多美元一台的0.55NA的EUV光刻机了。
  • 重磅!俄罗斯计划研发无掩模X射线光刻机
    4月2日消息,据外媒报道,俄罗斯莫斯科电子技术学院(MIET)已经接下了贸工部的6.7亿卢布资金(约合5100万元人民币),准备研发制造芯片的光刻机,并号称该款光刻机工艺可以达到EUV级别,但技术原理完全不同,他们研发的是基于同步加速器和/或等离子体源的无掩模X射线光刻机。文章内容显示:“MIET已经在无掩模EUV光刻领域取得了进展,包括与国内其他科研机构和科学家团体联合开展的研究。该项目还将涉及Zelenograd公司ESTO和Zelenograd同步加速器,现在是国家研究中心库尔恰托夫研究所的技术储存综合体(TNK)Zelenograd。“基于在该国运行和发射的同步加速器,特别是在TNKZelenograd的同步加速器以及国内等离子源的基础上,创造技术和设备,将使处理具有设计标准的半导体晶片成为可能28nm、16nm及以下,”招标文件包含这项研究工作(研发)的要求。“无掩模X射线纳米光刻技术和正在开发的设备在国内和世界上都没有类似物。”据了解,X射线因为波长很短,几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于mems技术当中。目前国内有两个地方可以做X射线光刻,一个是合肥同步辐射,一个是北京同步辐射。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。目前最先进的光学光刻是EUV,极紫外光刻。我们也称之为软X射线光刻,既有光学光刻的特征,也有X射线光刻的特征。极紫外波长很短,没有透镜能够放大缩小,所以只能采用凹面镜进行反射式缩放。而掩模版也采用反射式,曝光方式也是扫描,整个系统在真空下运行。公开资料显示,承接了光刻机研发计划的“MIET”是俄罗斯高科技领域领先的技术大学。通过将现代实验室、对教育过程的全新认识以及教育、科学和工业进行独特整合,MIET成为微电子和纳米电子、电信和信息技术领域培训专家的领导者。该大学是俄罗斯大学发明活动排名中最强大的三所大学之一,是莫斯科国立大学排名中排名前五的技术大学之一,也是著名的英国出版物《泰晤士报》排名前20位的俄罗斯大学之一高等教育。实际上俄罗斯早已在芯片制造业上遭到了美国制裁。俄国内唯二半导体企业Ангстрем公司原计划通过AMD购买必要工艺设备,但这笔交易由于2016年Ангстрем公司上了美国商务部制裁名单而中止,其在泽列诺格勒的工厂因为制程工艺落后无法获得足够订单长期处于亏损状态债务超过1000亿卢布,2019年其最大债权方VEB.RF(俄罗斯国家开发集团)对其进行破产重组。当然俄另一家芯片制造商Микрон因祸得福获得了利用Ангстрем生产车间改造28纳米制程新生产线的机会,为其节省了10亿美元。俄国内半导体消费市场不到全球份额2%,如果没有政府推动,针对这样小市场的产业需求去研发制造需要投入几百亿美元成本的DUV\EUV光刻机是经济上极不合理的(全世界产业市场也就那么大)。另一方面俄军用、航天市场对芯片需求的批量不大,但种类多,需要经济上合理的小批量、多品种的产能。适用于大批量生产的投影式光刻机不能满足这种产业需求。俄国内有两条使用8英寸晶圆的生产线,分别属于АО «Микрон»和ООО «НМ-ТЕХ» 。6英寸晶圆的四条生产线,分属АО «Микрон», АО «Ангстрем», АО «ВЗПП-Микрон»和НИИСИ РАН,前面三个都属于上世纪90年代至本世纪初技术水平,值得注意的是最后那个用的是新的无掩膜直写。2014年荷兰Mapper公司与俄RUSNANO公司合资在莫斯科组建一家生产无掩膜光刻机核心组件微机电光学元件的工厂。该工厂生产的电子光学元件可以将一束电子束分成13000束电子束,并对每束电子束进行控制,从而极大提高了无掩膜电子束光刻机的生产效率,使这类光刻机用于设计阶段样品制造外,更加适应小批量生产的需求。Mapper公司多束无掩模光刻机,可以用于32纳米制成,其核心部件即由俄罗斯制造。更早时候,RUSNANO投资了瓦迪姆.拉霍夫斯基教授团队研制的纳米级定位器,使用该项技术可用于加工10纳米精度的非球面光学元件(用于紫外和X波段)。而这位瓦迪姆.拉霍夫斯基,是位大牛。1992年他与苏联时期在全联盟计量科学研究所工作的同事创立一家小公司接一些为苏联时期电子产品生产零件的零散订单。在生产过程中,他们被掩膜缺陷反复折腾,随着制成工艺缩小,就会出现新的问题,之前提出的解决方法都不再有效。而所需要的投资也越来越高,单是掩膜成本就从0.5微米时代的400美元增加到如今的70万美元以上。这时候拉霍夫斯基想到如果用全息生成图像的方法就可以避免掩膜缺陷对产品质量造成影响,据估计,即便缺陷占据全息掩膜面积1%,实际创建的图像质量也不会受到影响。掩膜局部缺陷对成像质量的影响降低了9-10个数量级。这同样可以延长掩膜的使用寿命和降低透镜成本(只需要简单的透镜来照射面罩),甚至利用这一技术可以实现3D光刻。但根据全息图像计算全息掩膜时,他们遇到了数学难题,为此他找到了现代渐近衍射理论的创始人弗拉基米尔安德烈耶维奇博罗维科夫教授,教授为他提供了计算方法。然而全息掩膜的计算量仍然需要超级计算机才能完成。之后他的开发团队致力于简化算法,直至能够在微机上实现,同时他们开发了一个软件包,用以生成全息掩膜(在此过程中他们发现如果用平面波再现全息图将使掩膜的拓扑结构变得无法制造,为此他们通过数学方法解决了会聚球面波的难题)。最初他找到RUSNANO,希望获得对其研发的全息投影光刻技术的投资。但RUSNANO的态度令他感到失望。之后这位老哥找到SEMI欧洲分会主席,于是他获得了瑞士Empa资金支持,并在2015年成立了Nanotech SWHL GmbH公司。按照这位大牛的观点,俄政府领导人熟悉大工业,但不熟悉技术密集型产业,缺乏苏联政府那样对有产业潜力的先进技术孵化投资的远见。而此次外媒报道的无掩膜X射线光刻机虽然无法满足大批量生产的需求。不过2020至2021年9月份,俄整个电子工业只得到2660亿卢布拨款,一座28纳米生产线和配套晶圆厂至少也要投资上万亿卢布,投入这么大一笔费用,俄国内市场也难以提供足够订单维持其运转。光刻机、芯片制造从来不是自古华山一条道,解决不同需求有不同的技术路径(例如大批量生产方面压印法也是比较有发展前景的工艺)。
  • Intel EUV极紫外光刻设备进厂:冲刺“4nm”工艺
    位于爱尔兰莱克斯利普(Leixlip)、投资70亿美元的Intel Fab 34晶圆厂迎来重要时刻:一台光刻胶显影设备(lithography resist track)缓缓进入工厂,这也是该厂的第一台巨型芯片制造工具。该设备来自Intel美国俄勒冈州工厂,搭乘飞机越过大西洋,来到了爱尔兰。这台设备将与EUV极紫外扫描仪搭档,首先为硅晶圆覆上精密的涂层,然后进入EUV扫描仪,进行曝光,接着晶圆回到光刻设备,再进行一系列的高精密光显影、清理操作。一座典型的晶圆厂包含大约1200台先进制造设备,大部分价值都在百元美元级别。Intel Fab 34晶圆厂2019年动工建设,计划2023年正式投产,将会把Intel在爱尔兰的产能翻一番,并为未来生产Intel 4工艺铺平道路——严格来说是Intel 7nm,但是官方重新命名,认为它可以媲美行业4nm水平。Alder Lake 12代酷睿、Raptor Lake 13代酷睿都是Intel 7工艺(10nm ESF),Meteor Lake 14代酷睿和代号Granite Rapids的下下代至强都将用上Intel 4工艺。官方透露,新工艺研发进展顺利,芯片测试已经完美通过,SRAM、逻辑单元、模拟单元都符合规范,去年第二季度还早早完成了Meteor Lake计算单元模块的流片。Intel现阶段正在全球建设、升级晶圆厂,除了爱尔兰还有美国本土的亚利桑那州、新墨西哥州、俄勒冈州,以及马来西亚,投资上百亿美元,很快还会宣布在欧洲、美国的更多晶圆厂建设计划。
  • 消息称光刻机巨头 ASML 将于月底面临出口管制新规,恐致欧盟效仿
    6月23日消息,据彭博社报道,荷兰政府计划最快下周发布新的出口管制措施,将限制 ASML 的半导体制造设备出口。报道称,此次出口管制名单新增了 TWINSCAN NXT:2000i、NXT:2050i 及 NXT:2100i 等深紫外光(DUV)浸入式光刻设备。相关媒体注意到,这一系列设备最高可支持 5 nm 工艺,如台积电就使用 SAQP 和氩氟浸没 (ArFi) 光刻实现了 7 nm 量产。此前,ASML 最先进的极紫外光(EUV)光刻机已在出口管制列表当中。彭博社援引知情人士消息称,这一出口管制新规最早将在 6 月 30 日或 7 月第一周公布,有可能成为被其他欧盟成员国效仿的对象,荷兰政府发言人对此不予置评。报道指出,这是荷兰和日本今年 1 月原则上同意加入美国限制对中国大陆出口先进半导体制造设备的行动以来的最新举措,荷兰贸易部长 Schreinemacher 称,荷兰以国家和国际安全考量,有必要尽快限制先进半导体技术出口。此前,ASML 执行副总裁兼商务总监 Christophe Fouquet 声称,几乎不可能建立全自主的芯片产业链,这样做的代价是极为高昂和困难的,日本光刻机制造商佳能和尼康便是前车之鉴。
  • 从“小破厂”到全球一哥,光刻机巨头ASML的周期逆袭史
    随着2022年疫情起伏,一些城市进入封闭和静态管理节奏,很多创业公司也进入经营的艰难时刻。穿越周期对任何公司来说都并不容易,此刻我们想梳理和研究一些公司,它们或是某个硬科技领域的隐形冠军,或是一些重要赛道的著名公司,来看看艰难时期它们是怎么成长的。  第一篇我们选择了阿斯麦ASML,“光刻机”作为一个赢者通吃的硬科技领域,在它身上显现了太多科技公司发展的要素——如何押注正确的技术路径?是选择渐进式创新还是颠覆式创新?如何在短时间内做出正确的战略决策?如何发挥出高效的执行力?……这些也都是当下硬科技公司所需要面对的难题。ASML从一个被抛弃的研发项目,屡次走在破产边缘,到如今成为全球光刻机霸主,它如何度过那些绝境时刻?如何小心翼翼地穿越周期?尽管很多公司的成功不可复制,但这样的故事依然是引发思考的绝佳养料。  “他们不来了?他们不来了?他们不能这样做!” ASML总裁兼首席技术官马丁范登布林克差点把他的电话机砸烂。1991年因为海湾战争的爆发,出于安全考虑很多跨国公司禁止高管乘坐飞机。  “他们”指的是IBM,因为这样的禁令,IBM的高管无法来与ASML进行最后的合同谈判。但此时ASML的财务状况几乎进了ICU,如果拿不到IBM的订单,1991年的ASML就会破产。ASML压上了全部身家,为了IBM的订单疯狂努力了好几年,这些努力,都会因为这场跟他们毫无关系的战争而化为泡影。  1991年的ASML,还远不是我们今天看到的ASML。今天ASML风光无限,光刻机被称为现代工业皇冠上的明珠,是制造芯片的核心设备,全世界只有少数几家公司拥有这样的技术。中国芯片产业最大的短板就是EUV光刻机,而这家荷兰公司占有45nm以下高端光刻机80%的市场,而在极紫外光(EUV)领域,ASML是全球独家生产者。  但曾经的ASML,无数次走在资金链断裂的边缘,小心翼翼地穿越经济周期。贯穿始终的,是ASML对技术路径的卓越把握和几乎无止境的研发投入。从推出PAS 2500在光刻机领域站稳脚跟,随后经过改进的PAS 5500进入头部行列,到与台积电合作成果研制浸没式光刻机系列,一举奠定霸主地位。  再到2010年推出第一台EUV光刻机原型,以及通过外延并购形成整体光刻产品组合,从ASML的发展历程中可以看出,要想做出一家战略级硬科技公司,是需要冒多么大的风险,有着多么大的决心,花费多么大的资金,才有可能成长起来。  我们通过书籍、券商研报、媒体报道等资料,研究了ASML的发展史,并结合对硬科技的投资逻辑总结了一些观点。以下,Enjoy:  经济危机救了ASML:我们看到ASML是怎么小心翼翼地穿越经济周期,回过头来看惊诧地发现,其实经济危机救了它   硬科技的艰难抉择——押注改进还是颠覆:ASML真正的崛起里程碑,是选对了技术路径,但有时候成功来自于渐进式创新,有时候又来自于颠覆式创新,选对了一飞冲天,选错了万丈深渊,我们来看看ASML的启示   关键转折点——贵人相助与敌人犯错:企业要想成功,离不开盟友助力与敌人犯错,台积电是ASML的贵人,两家力推的浸没式光刻技术,打败了当时流行的干式光刻技术,这也源自敌人尼康、佳能的错误。当运气来了,要怎么抓住它,看看ASML是怎么做的   合作才能走得更远:今天的ASML 90%的零件其实是外购的,它是一家集成商,背后是美国、日本、欧洲、中国台湾、韩国多家公司与研究所的技术支撑,最终才能量产出极度复杂的EUV光刻机,合作与形成利益共同体是长远之道。  1  经济危机救了ASML  “坐视我们这种高风险企业快速倒闭,是典型的荷兰人做法。如果我们办公室的灯连续13个晚上亮着,政府劳工检验员会要求查看我们的工作许可证。但我们要把一个关键的战略产业拱手让给美国和日本吗?那我只能说,你们以后就去快乐地挤牛奶、搅黄油和种郁金香吧。” 德尔普拉多曾愤愤不平地在接受媒体采访时说。  德尔普拉多是ASM的创始人,他在1984年接手了被飞利浦抛弃的光刻机研发团队,成立了合资公司ASML。ASM是制造芯片生产设备的,但无论从技术和规模上,飞利浦都看不上ASM,所以在寻找接手方时,连谈判的机会都没有给它。  德尔普拉多是个猛人,他几乎吃饭、睡觉和呼吸都在ASM,他的魅力、野心和无畏展露无遗。ASM有欣欣向荣的一面,但也有深陷泥沼的一面。欣欣向荣的是,ASM是荷兰经济惨淡景象中的一颗璀璨明珠,正从一家设备分销公司转型为独立设备制造商,收入开始增长 但深陷泥沼的一面是连年的亏损、不大的规模、面临众多技术先进的竞争对手……普拉多一直在用“芯片是战略产业”这一点来吸引荷兰政府资金的投入,但政府耐心也有限。  直到1983年,飞利浦在其他人那里碰了一鼻子灰,在经历了和3家公司谈判失败后,所有人都士气低落。而ASM在纳斯达克的成功上市,令飞利浦看到也许ASM还是有钱的。在飞利浦高层再一次明确必须放弃像光刻机这样的非核心业务后,必须抓住最后一次机会来挽救光刻机团队,阻止裁员的发生。  飞利浦光刻机项目早期的产品SiRe1 图片来源:Lithography giant:ASML's rise  于是,ASM作为最后一根稻草,会谈开始了。这场会议只持续了1个小时15分钟。“对不起,失陪一小会儿。”普拉多与飞利浦光刻机团队负责人克鲁伊夫聊了15分钟后,他走出房间与团队商量。将近一个小时过去了,他才回来,然后说:“让我们一起做吧。”  光刻机业务符合普拉多的雄心壮志,他制造了芯片生产过程中每一道工序所需要的机器,但唯独缺乏最具战略性的光刻机。  但合并一个光刻机团队也是巨大的冒险。在这场谈判的一年前,ASM的收入才3700万美元,然而仅新一代步进光刻机的研发费用,就将远远超过5000万美元。并且与光刻机所需的先进技术相比,ASM以前掌握的技术简直不值一提。  一家小公司与巨头合作,话语权往往落在谁更需要谁。先进技术令飞利浦在新成立的合资公司ASML中享有很大话语权,为了获得飞利浦Natlab技术实验室的后续访问权限,ASM不得不答应在新公司中与飞利浦平分股权。  飞利浦在交易中还想尽可能节约资金,财务部门起草了一份详细的合资企业必须支付的费用清单,包括为制造20台步进光刻机所需订购的零件和材料费用,以至于“这家新公司买杯咖啡就会破产”。  这就是ASML艰难的成立史,它像一艘好不容易凑齐水手、仍在四处漏水的小船,一边修补一边扬帆起航。这个艰难的开始,与后面ASML所要面临的困难相比,也只是九牛一毛。  从ASML成立的1984年开始,后面连续3年遭遇了市场长时期衰退,行业增长陷入停滞。但研究ASML的学者们提出了一种观点,市场崩溃最终证明是对公司的天赐之物。  为什么说经济危机救了ASML?  荷兰高科技学院(HTI)的董事总经理瑞尼雷吉梅克,以及诸多ASML的早期员工都认为,经济危机打击了当时的巨头,但奇迹般地给了ASML喘息的时间,让它有足够的时间来重塑其研发和生产部门,因为当时刚刚起步的ASML,走错了油压技术路线、装配厂也还根本无法生产真正的大订单。那时如果芯片设备市场特别好,而ASML却卖不出光刻机,那么ASML会立刻失败。  另一方面,由于ASML的定位是光刻机集成商,一些零部件还需要依靠上游生产商,比如镜头,就需要德国蔡司生产,但蔡司当时的产能情况也非常糟糕。如果市场在1984年高速增长,蔡司都无法满足当时光刻机老大GCA的需求,更不可能给ASML足够的供应。  当然,这些认知是用后视镜来看,由ASML早期管理层总结出来的。但在1987年秋天,当时没有人能够感受到这种奇迹。  在经济衰退的这三年,刚刚起步的ASML主要在修炼内功,从一个士气低落、被抛弃的团队,逐渐变成一个自力更生的开发团队,物流和大规模生产系统也趋于成熟,销售和营销也已成为一股重要力量。  这种艰难开局还奠定了一个坚实的心理基础——要坚持熬过周期,在后来ASML多次濒临破产边缘时,都跟ASML在第一天就面临的困难一样。  工人正在超净室里组装 图片来源:Lithography giant:ASML's rise  2  硬科技的艰难抉择——押注改进还是颠覆  “等你卖了20台光刻机后,再回来找我谈。”  时任ASML CEO斯密特在加州一场世界一流的芯片设备展上备受打击,他到处宣扬飞利浦的光刻机项目起死回生了,但得到的反馈寥寥无几。当时的光刻机巨头是美国GCA和新崛起的日本尼康,装机量(在客户工厂中运行的机器数量)是所有人关心的关键指标,GCA和尼康已经达到数百台,而ASML还是零。  这个指标之所以重要,是因为光刻机过于复杂,以至于光刻机供应商需要配备大量服务工程师,以应对突发情况。一些微小的因素就会导致光刻机出现问题,实践经验非常重要。  带着绝望的心情,斯密特回到了荷兰,他除了觉得芯片行业充满活力之外,其他都是沮丧的消息。绝境逼人思考,当他回顾在整个差旅中看的一切时,似乎在黑暗中有一丝光线若隐若现。  当时,整个芯片行业即将跨越一个难关,这为设备制造商创造了机会。在加州的展会上,每个人都在谈论摩尔定律,谈论下一代机器——从大规模集成电路(LSI)到超大规模集成电路(VLSI)。  显然在未来几年内,芯片线路将缩小到1/1000毫米以下,光刻机处理的将不再是4英寸的晶圆,而是6英寸的晶圆。  随着这个转变,超大规模集成电路需要新一代光刻机,这种机器要能够将0.7微米的细节成像到晶圆上,并实现更紧密的微电子集成。在所有的坏消息中,唯一的好消息就是,还没有人找到制造这种光刻机的方法。  大门虽关闭,但窗户已打开。斯密特与团队一起探讨,如果ASML成功开发出新一代光刻机,那么半导体行业就会被他拿下。  斯密特之所以有这样的信心,是因为新一代光刻机必须在光学、对准和定位等几乎每个方面都大幅改进。当时的行业巨头佳能、GCA、尼康和Perkin-Elmer公司制造的机器仍然使用导程螺丝杆来移动晶圆台,这意味着他们的图像细节达不到小于1微米的定位精度,而这正是ASML技术的优势所在。  斯密特也是一位有远见的人。他以前研究过航空业的整合行动,在他还在上大学的时候,世界上有50家飞机制造厂,当他拿到博士学位后,就只剩下几家了。他还在上一份工作经历中见证过电信业的技术变革。他知道一家新公司,在成熟市场是没有机会的,除非这家新厂商选择对了技术路径。Lithography giant:ASML's rise  技术路径深刻影响了光刻机公司们的起起伏伏,我们总结了三个重要启示:  早期优势有可能会转化为阻碍  ASML由于承袭了飞利浦的光刻机技术,在一开始采用的是油压驱动,而非电动。  在1973年,当爱德鲍尔在飞利浦制造了第一台步进光刻机时,这个基于油压驱动的晶圆台遥遥领先于时代。当时油压是一项卓越的技术,如果没有受到挑战是很难被放弃的。  油压装置提供了稳定性和精度极高的定位系统,但它有一个问题,就是机油如果泄漏,则会对芯片制造过程造成严重破坏。在80巴的压力下,即使是最微量的泄漏也会将整个房间喷上油雾,污染将使芯片生产停滞数月,油在芯片生产过程中是“毒药”。  并且,机油系统还会产生很多噪声,需要定制外壳来减少噪声。这些问题导致了油压驱动的光刻机没有客户。  但由于技术依赖的惯性,飞利浦没有改进这个问题,直到剥离光刻机项目。而到了ASML,也没有在一开始就重视这个问题,斯密特仍希望将这种油压设备,硬卖给那些想要尝试其高级对准系统的客户。  当然,结果肯定是失败的。虽然ASML有一张技术王牌——能够实现精准套刻的对准技术,但由于这项技术被应用于油压驱动的机器中,就是没有人买。最终斯密特决定放弃油压,改为电动晶圆台,这意味更多的研发经费、更短的研发时间、和一定的失败几率,但也不得不迎难而上。  渐进式创新的影响力可能超出想象  20世纪80年代,ASML在光刻机领域还算不上最头部的公司。当时的老大要属美国GCA。但GCA在80年代中期就迅速衰败了。  当时导致GCA失败的最终因素,主要是蔡司的g线镜头,一种光线漂移问题严重。在开始时一切都很好,但随着光刻机运行的时间变长,图像质量就会下降。因为急于向客户交付光刻机,所以GCA在把镜头安装在机器上之前不会对镜头进行检查,这导致GCA交付了数百台带有故障镜头的光刻机,而蔡司多年来对这个问题一无所知,只有不到10%的镜头被送回进行维修。  更大的问题是GCA的光刻机无法自动纠正此类错误,工程师们也不知道问题出现的确切原因。  此时,一种渐进式创新出现了。GCA的日本竞争对手(尼康)设法改进了光刻机的聚焦系统。尼康依次开发出了具有较大数值孔径的g线目镜,这种组合令尼康的系统,能够更清晰地将微小图案成像到光刻胶的薄层上。  这项渐进式创新,令尼康斩获颇丰。当时有很多厂商正在大规模投入g线技术向i线技术革新。但客户们都很看好尼康的改进,因为他们只需要换掉GCA的光刻机,而不是是重新创建一个全新的基础设施。在制造更好芯片的同时,还节省了大量资金。  在技术转型期要格外小心这些因素,尼康对g线镜头的微小创新只是其中一个。当现有技术的寿命延长,对昂贵新技术的需求就会减弱,这意味着投入时机的重要性。  要探索技术路径的迷雾,赛马制可能是不错的手段  ASML也一样会面临抉择,到底是逐步改善现有技术,还是投入新的?ASML里程碑式的光刻机PAS 5500,就是在这样的抉择中诞生的。  工程师要做的不仅是机器的物理设计,他们还必须在初期选择技术路径,然后再扩展物理设计。如果机器架构从一开始就不可靠,那么以后各个环节都会遇到麻烦,问题还将持续多年。  例如晶圆台精度就是一个不确定因素。当时,ASML在其机器中使用带有直线电动机的H型晶圆台,但随着市场对“对准精度”的要求越来越高,很难说这种技术路径的产品能在市场上存活多久。  此时,摆在面前的问题是,ASML应该选择逐步改善,还是彻底革新?如果选择逐步改善,这种技术路径很可能最终无法满足市场的新需求 另一种选择是使用革命性的长冲程、短冲程发动机寻求突破,但研发会有风险。  ASML PAS 5500的首席架构师范登布林克没有直接做出决定,其实他也很难判断到底孰优孰劣。由于这个决策意义重大,他决定在这两条路上分别试验6-9个月,两个团队分别在自己的技术路径上赛马。  最后,技术竞赛证明旧H型晶圆台,有足够的潜力定位8英寸的晶圆,所以ASML选择了这条保险的路线。长短冲程发动机被暂时雪藏,但也可作为更新换代的备选方案。  PAS 5500对于ASML来说,是一款决定性的产品,ASML把所有希望寄托在它身上,PAS 5500也的确推动ASML走向光刻机世界的舞台中心。所以在这种重大的决策上,多花点研发经费是划算的,技术路径的赛马机制是值得的。  经历了多年的苦心经营,ASML在步进扫描光刻机时代走到了巨头行列,当时的市场形成了三家独大的局面:ASML、尼康、佳能。  但令ASML真正登上霸主宝座,弯道超车打败另外两家的契机,来自于颠覆式创新,来自于台积电的一个发明。  3  关键转折点——贵人相助与敌人犯错  技术赛马制之所以重要,就在于当颠覆式创新的机会来临时,提供支撑勇气的判断。  ASML最大的弯道超车,发生在193nm制程到157nm制程的升级过程。过去步进扫描光刻机采取的技术路线都是干式法,通过用更高级的曝光光源,来支撑技术进步到下一代。为了追求更高的分辨率,光源波长从最初的365nm,到248nm,再到193nm,但再往下走时,这条技术路径出现了困难。  当时业内又面临是押注改进还是颠覆的抉择。大部分企业选择了在原有技术路径上改进,比如两大巨头尼康、佳能,都选择进一步研发157nm波长的光源,但遇到了困难。  这时候,一种全新的技术理念出现在市场上——浸没式。这个思路由台积电的华裔越南科学家林本坚提出,他创造性的用水作为曝光介质,光源波长还是用原来的193nm,但通过水的折射,使进入光阻的波长缩小到134nm。  以前的干式法中,曝光介质用的是空气。它们的区别在于折射率,193 nm光源在空气中的折射率为1,在水中折射率为1.4,这也就意味着相同光源条件下,浸没式光刻机的分辨率可以提高1.4倍。  当时很多人认为浸没式技术难度太大,首先水可能会把镜头上的脏东西洗出来,影响工作效能 还有人担心水中的气泡、光线明暗等因素,会影响折射效果。林本坚也在着手攻克这些问题,比如用去离子水和其他手段,来保持水的洁净度和温度,使其不起气泡。  但理论归理论,能不能从实验室真正到工厂,还需要经验丰富的设备商一起开发。林本坚去美国、日本、德国、荷兰跑了一大圈,向光刻机厂商兜售浸没式光刻的想法。但是,绝大部分大厂都不买账。  不买账的原因除了这项技术走得太“鬼才”,还有不少想法需要验证之外,另一个原因就是改变的沉没成本太高。当时主流的研发思路,都是在157nm的干式光刻技术路径上。诸多公司已经耗费了大量财力、人力、物力,如果用这种“加水”的想法,各个研究团队就得全部重新开始,推翻原有的大部分设计。  所以巨头们对林本坚的态度,不仅仅是不理睬,而是封杀。尼康甚至向台积电施压,要求雪藏林本坚。在现实利益面前,这样的事情还发生过很多,比如柯达其实是最早研发出数码相机的公司,但缺乏自我颠覆的勇气,因为恐惧它威胁到自己的胶片业务,反而是雪藏了数码相机。  终于当林本坚跑到了荷兰时,ASML愿意做第一个吃螃蟹的勇士。虽然ASML也是从干式光刻机起家,但它想通过赛马制来赌一把,既然尼康、佳能都在死磕干式法157nm光源,且进展不顺利,那这支“奇兵”的意义就是巨大的。  最终浸润式成功了。2003年,ASML和台积电合作研发的首台浸没式光刻设备——TWINSCAN XT:1150i出炉,第二年又出了改进版。同年,研发进度拖慢的尼康,终于宣布了157nm的干式光刻机产品样机出炉。  但此时胜负已定,一面是用原来193nm光源但通过水进化到132nm波长的新技术,一面是157nm波长的样机,浸润式技术的优势不言而喻,这一技术成为此后65、45和32nm制程的主流,推动摩尔定律往前跃进了三代。  颠覆式创新的毁灭力也是巨大的。尼康、佳能由于对技术路径的判断失误,不仅意味着几百亿研发资金打了水漂,更是在与ASML的竞争中彻底落败。在2000年之前的16年里,ASML虽然跻身第一梯队,但是第一梯队里最小的玩家,占据的市场份额不足10%。  但自浸没式技术出现后,一路摧枯拉朽,全面碾压昔日巨头尼康、佳能,2008年市场占比超过60%。整个日本的半导体厂商,以及IBM等巨头,也都迅速衰落。  4  合作才能走得更远  为了进一步巩固战果,ASML开始打造上下游利益共同体。  由于浸没式技术的独家性,ASML要求所有合作伙伴必须投资它,否则就不合作。Intel、三星、台积电等等都投资了ASML,大半个半导体行业成为了ASML一家的合作伙伴,形成了庞大的利益共同体,大家都绑在了一条船上。  值得注意的是,在研发浸没式光刻设备的同时,ASML还早期布局了EUV技术,可谓走一步看三步。中国现在买不到的EUV光刻机,就是这种最前沿的产物。  我们在前文提到,尼康开发干式157nm光源遭遇了困难,就是因为不停缩小光源波长越来越困难,浸没式光刻技术虽然通过水的折射率暂时领先,但在未来,也一样会面临需要不停缩小波长的问题。  极紫外光(EUV)就像曾经的浸没式技术一样,拥有另辟蹊径的潜力,因为它的光波长极小,可以创造出比传统光刻小得多的电路。从1990年代末开始,直到2017年推出第一台商用EUV机器,这个项目共耗资90亿美元。  EUV代表产生电路的极紫外光 图片来源:New York Times  资金只是一方面,EUV的量产并不是一家公司的能力,而是多方合作的共同结果。美国政府之所以对ASML拥有影响力,就是因为美国政府和美国科研力量,是开发中极其重要的一环。  早在1997年,英特尔认识到进一步缩小光源波长的困难,渴望通过EUV来另辟蹊径。英特尔说服了美国政府,组建了“EUV LLC”的组织,包含了商业力量和政府科研力量,例如摩托罗拉、AMD、英特尔等,还汇集了美国三大国家实验室,美国成员构成了主体。  在对外国成员的选择上,英特尔和白宫产生了分歧,英特尔想让在光刻机领域有实力的ASML和尼康入局,但白宫认为如此重要的先进技术研发不该有”外人”入局。  此时ASML展示出了惊人的技术前瞻性,一定要挤进EUV LLC,虽然这个组织的目标是为了论证EUV技术的可行性,而不是量产它。ASML强力游说,开出了很难拒绝的条件——由ASML出资在美国建工厂和研发中心,并保证55%的原材料都从美国采购。  几百名全球顶尖的研发人员,经过了6年时间,终于论证了EUV的可行性,于是EUV LLC的使命完成,于2003年解散,各个成员踏上独自研发之路。  此时的ASML刚在浸润式技术上奇兵致胜,然后就立即投入到EUV的研发中。ASML每年将营业收入的15%用于研发,比如2017年的研发费用就高达97亿人民币。越投入技术越强,竞争对手都逐渐跟不上了。  EUV的技术难度非常高,在先进的EUV光刻机中,为了产生波长13.5nm超短波长的光,需要持续用20kw的激光轰击从空中掉落的金属锡液滴,液滴直径只有20微米,而且同一个液滴需要极端时间内连续轰击两次,第一次冲击是将它们压平,第二次冲击是将它们汽化,才能产生足够强度的极紫外光。为了保证光的持续性,每秒要轰击5万次。  EUV光刻机被誉为人类制造的最复杂机器之一,各个环节的高度专业性也汇集了全球的尖端产业,其中要用到来自德国的反射镜,以及在圣地亚哥开发的硬件,这种硬件通过用激光喷射锡滴来产生光,重要化学品和元件则来自日本。ASML还于2012年收购了顶级光源企业Cymer。  EUV光刻机绝对是人类制造的最精密复杂的设备之一  运输该机器需要40个集装箱、20辆卡车和三架波音747飞机 图片来源:New York Times  ASML其实是一个集大成者(集成商),也是全球化的受益者。ASML 90%的零部件来自于外购,再由最理解客户需求和产业发展趋势的ASML集成。ASML的背后是美国、日本、欧洲、中国台湾、韩国的技术支撑,最终才能量产出极度复杂的EUV光刻机。  这就是尖端供应链全球化的典型例子,如果中国想在芯片领域取得大幅进步,那就不得不面对一个由多方构成、缺一不可的全球尖端供应链。  早在ASML成立最初的几个月里,就确定了它合作的基因。ASML只进行研发和组装,并不什么都由自己制造。这种理念在1984年是十分超前的,因为当时欧洲流行的信念是“你最好什么都自己做才能控制一切”,当时很多人都认为ASML疯了:“培养合作伙伴与把钥匙交给别人是同一种意思,这是在自找麻烦,你会完全失去控制权。”  但事实证明合作才能走得更远。
  • ASML携7nm制程DUV光刻机亮相进博会
    p 上周在国际进口博览会现场,半导体设备巨头ASML展出了可用于7纳米以上先进制程的深紫外曝光机DUV。 /p p 有报道指出,虽然目前极紫外曝光机,俗称EUV光刻机仍受到美国的技术封锁而无法出口,但ASML保证DUV就完全没有问题,尤其是浸润式DUV,并不需要向美国申请出口许可。而在经过多重曝光后,浸润式DUV也能达到7纳米制程的门槛,甚至更进一步。 /p p 这令中芯等业者似乎有了解套,且ASML在会场上更提供了完整的解决方案,拥有先进控制能力的机台将能通过建模、仿真、分析等技术,让边缘定位精度不断提高,深受市场瞩目。ASML全球副总裁暨中国区总裁沈波在受访时表示,公司对向中国出口光刻机持相当开放的态度,在法律法规的框架下,都会全力支持。 /p p ASML目前已在中国建立了培训中心,培养相关人才,在深圳和北京也有两家技术开发中心,专门做技术开发,已提供近700多台各式产品。此次若真能提供适用于7纳米制程以上的DUV可谓是相当大的突破。因为理论上,DUV通常只能用到25纳米。 /p p 英特尔虽然透过特别的技术使其用在10纳米制程,但这几乎已是极限。DUV的深紫外光波长近193纳米,虽然透过液体浸润多重曝光后,的确能够缩小线距,但要与EUV的13.5纳米波长等效,成本及良率恐怕都会很难看,这也是当初为何台积电毅然选择投入设备非常昂贵的EUV技术。 /p
  • 我国成功研制出世界首台分辨力最高紫外超分辨光刻装备
    p style=" text-align: center " strong 我国成功研制出世界首台分辨力最高紫外超分辨光刻装备 /strong /p p style=" text-align: center " strong 可加工22纳米芯片 /strong /p p style=" text-align: center " strong img width=" 500" height=" 332" title=" 超分辨光刻装备核心部件纳米定位干涉仪以及精密间隙测量系统.jpg" style=" width: 500px height: 332px " alt=" 超分辨光刻装备核心部件纳米定位干涉仪以及精密间隙测量系统.jpg" src=" https://img1.17img.cn/17img/images/201811/uepic/630030e2-5fa9-438d-b88b-dcedfe27b36a.jpg" border=" 0" vspace=" 0" / /strong /p p style=" text-align: center " strong ▲超分辨光刻装备核心部件纳米定位干涉仪以及精密间隙测量系统。 /strong /p p   军报记者成都11月29日电(吕珍慧、记者邹维荣)国家重大科研装备研制项目“超分辨光刻装备研制”29日通过验收,这是我国成功研制出的世界首台分辨力最高紫外超分辨光刻装备。该光刻机由中国科学院光电技术研究所研制, span style=" color: rgb(255, 0, 0) " 光刻分辨力达到22纳米,结合多重曝光技术后,可用于制造10纳米级别的芯片。 /span /p p style=" text-align: center " img width=" 500" height=" 331" title=" 超分辨光刻设备核心部件超分辨光刻镜头.jpg" style=" width: 500px height: 331px " alt=" 超分辨光刻设备核心部件超分辨光刻镜头.jpg" src=" https://img1.17img.cn/17img/images/201811/uepic/1db46f1b-ecd9-405c-b92b-55165c103455.jpg" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong ▲超分辨光刻设备核心部件超分辨光刻镜头。 /strong /p p   中科院理化技术研究所许祖彦院士等验收组专家一致表示,该光刻机在365纳米光源波长下,单次曝光最高线宽分辨力达到22纳米。项目在原理上突破分辨力衍射极限,建立了一条高分辨、大面积的纳米光刻装备研发新路线,绕过了国外相关知识产权壁垒。 /p p style=" text-align: center " img width=" 500" height=" 331" title=" 超分辨光刻设备加工的4英寸光刻样品.jpg" style=" width: 500px height: 331px " alt=" 超分辨光刻设备加工的4英寸光刻样品.jpg" src=" https://img1.17img.cn/17img/images/201811/uepic/a67133f0-7251-46a3-ba62-6cc159084915.jpg" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong ▲超分辨光刻设备加工的4英寸光刻样品。 /strong /p p style=" text-align: center " img width=" 500" height=" 332" title=" 采用超分辨光刻设备加工的超导纳米线单光子探测器.jpg" style=" width: 500px height: 332px " alt=" 采用超分辨光刻设备加工的超导纳米线单光子探测器.jpg" src=" https://img1.17img.cn/17img/images/201811/uepic/bfbf977d-f735-4c4a-ada2-968e62a904ea.jpg" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong ▲采用超分辨光刻设备加工的超导纳米线单光子探测器。 /strong /p p   光刻机是制造芯片的核心装备,我国在这一领域长期落后。它采用类似照片冲印的技术,把一张巨大的电路设计图缩印到小小的芯片上,光刻精度越高,芯片体积可以越小,性能也可以越高。但由于光波的衍射效应,光刻精度终将面临极限。 /p p style=" text-align: center " img width=" 500" height=" 332" title=" 中科院光电所科研人员展示利用超分辨光刻设备加工的超导纳米线单光子探测器.jpg" style=" width: 500px height: 332px " alt=" 中科院光电所科研人员展示利用超分辨光刻设备加工的超导纳米线单光子探测器.jpg" src=" https://img1.17img.cn/17img/images/201811/uepic/2b4c7150-dcc7-4844-9278-610ae7a5c3a4.jpg" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong ▲中科院光电所科研人员展示利用超分辨光刻设备加工的超导纳米线单光子探测器。 /strong /p p   为突破极限、取得更高的精度,国际上目前采用缩短光波、增加成像系统数值孔径等技术路径来改进光刻机,但也遇到装备成本高、效率低等阻碍。 /p p   项目副总师胡松介绍,中科院光电所此次通过验收的表面等离子体超分辨光刻装备,打破了传统路线格局,形成了一条全新的纳米光学光刻技术路线,具有完全自主知识产权,为超材料/超表面、第三代光学器件、广义芯片等变革性领域的跨越式发展提供了制造工具。 /p p style=" text-align: center " img width=" 500" height=" 331" title=" 项目副总设计师胡松研究员介绍超分辨光刻装备研制项目攻关情况.jpg" style=" width: 500px height: 331px " alt=" 项目副总设计师胡松研究员介绍超分辨光刻装备研制项目攻关情况.jpg" src=" https://img1.17img.cn/17img/images/201811/uepic/8f9b7048-0983-4614-ad32-83bac74326ce.jpg" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong ▲项目副总设计师胡松研究员介绍超分辨光刻装备研制项目攻关情况。 /strong /p p style=" text-align: center " strong img width=" 500" height=" 331" title=" 中科院光电所科研人员操作超分辨光刻设备.jpg" style=" width: 500px height: 331px " alt=" 中科院光电所科研人员操作超分辨光刻设备.jpg" src=" https://img1.17img.cn/17img/images/201811/uepic/ae8c0ca4-deed-4fe3-8449-59092f2b080b.jpg" border=" 0" vspace=" 0" / /strong /p p style=" text-align: center " strong ▲中科院光电所科研人员操作超分辨光刻设备。 /strong /p p   据了解,该光刻机制造的相关器件已在中国航天科技集团公司第八研究院、电子科技大学太赫兹科学技术研究中心、四川大学华西医院、中科院微系统所信息功能材料国家重点实验室等多家科研院所和高校的重大研究任务中取得应用。 /p p style=" text-align: center " img width=" 500" height=" 330" title=" 中科院光电所科研人员操作超分辨光刻设备2.jpg" style=" width: 500px height: 330px " alt=" 中科院光电所科研人员操作超分辨光刻设备2.jpg" src=" https://img1.17img.cn/17img/images/201811/uepic/0064094a-2a60-4744-9875-2b41b0f467e2.jpg" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong ▲中科院光电所科研人员操作超分辨光刻设备。 /strong /p p & nbsp /p
  • 英诺赛科和ASML达成批量购买高产能I-LINE和KRF光刻机的协议
    2021年1月21日,英诺赛科科技有限公司和ASML公司达成批量购买高产能i-line和KrF光刻机的协议,用于制造先进的硅基氮化镓功率器件。全球领先的硅基氮化镓集成器件制造商英诺赛科科技有限公司和光刻机制造厂商ASML近期达成批量购买高产能i-line和KrF光刻机的合作协议。ASML是全球芯片制造设备领导厂商,其生产的XT400和XT860 的i-line和KrF经过升级,能够在硅基晶圆上制造氮化镓功率器件。 凭借其独特的TWINSCAN(双工件台)架构,ASML的i-line和KrF光刻机能提供最卓越的性能、市场上最高的生产效率以及最低的成本。双工件台技术架构已经成为全球300mm和200mm晶圆量产生产线中的先进光刻技术代表 。英诺赛科将在今年第二季度搬入首批光刻机,这是第三代半导体领域首次量产应用先进的ASML TWINSCAN(双工件台)光刻技术,这一实施标志着第三代半导体制造技术正式进入了一个全新的纪元。英诺赛科科技有限公司成立于2015年12月,是一家致力于第三代半导体硅基氮化镓芯片制造的企业。公司成功建成投产全球首条200mm硅基氮化镓晶圆与功率器件量产生产线,主要产品包括200mm硅基氮化镓晶圆及30V-650V氮化镓功率器件。英诺赛科产品的设计与性能均达到国际最先进水平,并已广泛应用于PD快充、立体(3D)相机、移动电子设备(包括智能手机、笔记本电脑、平板电脑)等领域。 英诺赛科致力于打造世界一流品牌,并为全球宽禁带半导体产业的发展做出贡献。功率器件和电路可以通过高开关频率和高功率密度来实现高效的能源管理, 这些功能可以广泛用于快速增长的新兴市场如数据中心、可再生能源和下一代无线通讯网络等。除较小的外形尺寸外,由于其高频率、高功率密度等特性,硅基氮化镓还是快速充电,直流电网,新能源汽车等市场理想选择。“第三代半导体”材料包括氮化镓(GaN) 、碳化硅(SiC)、氮化铝(AlN)、金刚石和氧化锌(ZnO),而氮化镓(GaN)是“第三代半导体”材料的典型代表,具有广阔的市场应用前景。2021年1月21日在珠海举行的签约仪式上,英诺赛科CEO 孙在亨先生 说:“我非常荣幸的宣布英诺赛科与ASML达成合作协议,我们将在一起努力用氮化镓技术改变未来。作为一家致力于推动第三代半导体创新革命的企业,我们需要与像ASML这样全球半导体领军的企业合作,采用更加先进的制造工艺,实现更加高的性能、良率和产出,在快速成长的各种应用领域(例如快充、TOF相机(Time-of-Flight Camera) 、智能手机、电动车、数据中心等)共同推出最先进的解决方案以及下个世代的氮化镓器件,为我们的客户、伙伴和消费者创造更有价值的产品和服务。第三代半导体是一次产业革命性的升级,变革从不简单,它需要我们携手共进,携手共进我们将实现合作共赢。ASML全球副总裁,中国区总裁沈波表示:“我们很高兴成为英诺赛科的合作伙伴,第三代半导体在全球市场有广阔的应用前景,阿斯麦会全力提供光刻解决方案和服务,支持英诺赛科在这一领域的发展。”“我们应用在200mm晶圆生产线上的 XT平台,包括i-line、KrF和干式ArF等光刻机,是快速增长的硅基氮化镓市场的理想长期解决方案,不仅在生产率和成本方面是这样, 随着氮化镓(GaN)材料在新领域的应用,套准精度和成像要求也会随着时间的推移而扩展。” ASML 深紫外光刻业务产品营销和业务开发高级总监Toni Mesquida Kuesters说: “我们致力于帮助英诺赛科实现其预期目标,并期待卓有成效的合作。”
  • 中科院化学所预算7957万元采购光刻机、拉曼光谱、电镜等仪器
    仪器是科学创新的重要基础和条件,科学发现不仅仅需要理论创新,还需要依靠仪器进行实验观察和检测。中国科学院化学研究所作为具有重要国际影响、高水平的研究机构,在高分子科学、物理化学、有机化学、分析化学、无机化学等领域,面向世界科技前沿,取得了一系列杰出研究成果,其科研开展离不开仪器的支持。根据《财政部关于开展政府采购意向公开工作的通知》(财库〔2020〕10号)等有关规定,为优化政府采购营商环境,提升采购绩效,相关单位需公开政府采购意向,内容应包括项目名称、需求概况、预算金额、采购时间等。作为仪器使用大户,中国科学院化学研究所于近日公布了26项仪器类政府采购意向,预算金额相加达7957万元,采购品目包括光刻机、X射线衍射仪、拉曼光谱仪、扫描电镜、透射电镜等诸多仪器类型,预计采购时间为2022年6月。中国科学院化学研究所2022年6月仪器采购意向汇总表序号采购项目预算金额(万元)项目详情1喷墨打印设备350详情链接2激光雕刻头150详情链接3紫外光刻机296详情链接4等离子体刻蚀机261详情链接5连续印刷狭缝涂布机500详情链接6单片狭缝涂布机100详情链接7快速停流吸收光谱仪211详情链接8快速停流荧光光谱仪212.1详情链接9显微共焦拉曼光谱仪195详情链接10X-射线衍射仪630详情链接11皮秒光参量放大器160详情链接12皮秒激光放大器130详情链接13飞秒激光振荡器100详情链接14飞秒激光放大器105详情链接15低温强磁场扫描探针显微镜550详情链接16半自动探针台140详情链接17低温强磁场共聚焦显微镜表征系统540详情链接18场发射透射电子显微镜950详情链接19高分辨扫描电子显微镜497详情链接20聚焦离子束设备450详情链接21扫描离子电导显微镜150详情链接22低温综合物性测量系统450详情链接23激光扫描共聚焦显微镜430详情链接24微通道反应装置150详情链接25等温滴定微量热仪130详情链接26富勒烯制备装置120详情链接
  • 预算3300万!上海交通大学采购DUV光刻机
    近日,上海交通大学发布招标公告,采购深紫外步进式光刻机,预算达3300万元。以下为公告详情:上海交通大学电子信息与电气工程学院深紫外步进式光刻机国际招标公开招标公告(重招)项目概况上海交通大学电子信息与电气工程学院深紫外步进式光刻机 招标项目的潜在投标人应在上海市共和新路1301号C座110室获取招标文件,并于2021年03月03日 09点30分(北京时间)前递交投标文件。一、项目基本情况项目编号:0834-2141SH21A033项目名称:上海交通大学电子信息与电气工程学院深紫外步进式光刻机预算金额:3300.0000000 万元(人民币)最高限价(如有):3300.0000000 万元(人民币)采购需求:序号货物名称数量简要技术规格交货期交货地点1深紫外步进式光刻机1套曝光光源: 深紫外准分子激光,波长248nm。分辨率:£ 150nm(详见第八章)买方发出发货通知后4个月发货。关境外货物:CIP上海交通大学关境内货物:上海交通大学合同履行期限:买方发出发货通知后4个月本项目( 不接受 )联合体投标。
  • 国产光刻机如何突围?
    近日,有消息称,上海微电子正致力于研发28纳米浸没式光刻机,预计在2023年年底将国产第一台SSA/800-10W光刻机设备交付市场。此前,国家知识产权局公布了一项华为新的专利“反射镜、光刻装置及其控制方法”,在极紫外线光刻机核心技术上取得突破性进展。  半导体产业是全球主要国家的战略高地。美国、荷兰、日本先后对光刻机等半导体制造设备出口进行限制,我国将于8月1日起对镓、锗相关物项实施出口管制。想要不被“卡脖子”,在关键环节实现自主可控是必经之路。光刻机“卡脖子”问题具体体现在哪儿?我国企业已经取得了哪些进展?国产量子芯片领域能否把握发展先机?记者近日就此调研了部分上市公司,采访了学术界、产业界多位专家。  业内人士普遍表示,我国企业加快核心领域自主研发,光刻机产业链上下游正不断涌现出新进展、新成果,国产化加速向前。“中国芯”正在崛起。  光刻机领域突破不断  光刻机又名掩模对准曝光机,被称为“半导体工业皇冠上的明珠”,是半导体产业链中最精密的设备,是制造芯片的核心装备。光刻机技术有多难?业界有形象的比喻,用光在晶圆上画图,相当于两架客机齐头并进,一架机翼上挂一把刀,另一架飞机上粘一颗米粒,用刀在米粒上刻字。  目前,全球能生产光刻机的厂商寥寥无几,荷兰阿斯麦、日本尼康和佳能占据了主要市场。其中,阿斯麦技术最为领先,它是唯一能生产极紫外线光刻机的厂家,这种光刻机可实现7纳米甚至5纳米工艺。阿斯麦第一大股东是美国资本国际集团,第二大股东是美国的黑岩集团。  中国在光刻机技术方面曾站在世界“第一方阵”,1965年研制出了65型接触式光刻机,1985年研制出的分步光刻机样机,当时与国外先进水平差距不超过7年,但此后,我国开始从国外购买光刻机。自20世纪90年代起,阿斯麦等国外企业却迅速崛起。  眼下,我国光刻机产业处处被“卡脖子”。接受本报记者调研的企业称:“卡脖子”的难点主要在两处:一是光源,光刻机要求体系小、功率高而稳定的光源;二是镜片,为了让光线能够精确地照射到硅片上刻画出微小的图案,需要一系列高精度和高光滑度的镜片来聚焦和校准光线。  上海微电子副董事长贺荣明在受访时表示:“2002年,我国专家出国考察时,对方工程师说,哪怕把所有图纸都给你们,你们也未必能做出光刻机。”回国后,贺荣明带领团队夜以继日攻关,研发团队经过5年终于在曝光这个关键环节取得重大突破,之后不断闯关。目前,上海微电子已可量产90纳米分辨率的SSX600系列光刻机,28纳米分辨率的光刻机也有望取得突破。  国产化率日渐提升  贺荣明带领的上海微电子,仅仅是我国企业在光刻机走向自主可控进程中付出努力的一个缩影。近年来,多家A股上市公司已经进入到光刻机全球产业链各环节之中,包括光刻机光源系统厂商福晶科技,物镜系统厂商奥普光电,涂胶显影厂商芯源微、富创精密,光掩膜版厂商清溢光电、华润微,缺陷检测厂商精测电子,光刻胶厂商南大光电、容大感光,光刻气体厂商雅克科技、华特气体等。  其中,富创精密是阿斯麦的供应商之一,全球为数不多的能够量产应用于7纳米工艺制程半导体设备的精密零部件制造商。对于国产化问题,富创精密表示:“公司将在现有产品的基础上逐步实现半导体设备精密零部件的国产化。”  华特气体则表示:“公司产品已批量供应14纳米、7纳米等产线,部分氟碳类产品、氢化物已进入到5纳米的先进制程工艺中使用。”  中微公司将产业的快速发展归功于资本市场的助力。中微公司董秘刘晓宇表示:“资本市场不仅解决了公司资金需求,并且带来广泛的社会资源和产业链上下游资源,形成产业链协同效应。”  随着产业链上下游企业的共同努力,光刻机的国产化率日渐提升。  浙商证券研报表示,当前我国在清洗、热处理、去胶设备的国产化率分别达到34%、40%、90%;在涂胶显影、刻蚀、真空镀膜的国产化率达到10%至30%;在原子层沉积、光刻、量测检测、离子注入的国产化率暂时低于5%。  正如工银投行研究中心信息技术行业首席分析师许可源所言,全球半导体产业碎片化趋势显现,对于我国半导体产业,国产替代成为未来发展的长期逻辑。随着国内半导体制造和封测产能的持续扩张,将为国内设备厂商提供更多验证与导入的机遇,带动国内产业在技术和市场上的突破。  有望借量子技术换道超车  除了上述各领域的创新外,被誉为新一轮科技革命的战略制高点——量子科学领域,中国位列全球“第一方阵”。量子计算机对复杂数据的计算能力大大超过传统计算机的极限,这为“中国芯”换道超车提供了技术支持。  目前,华为的超导量子芯片专利技术,大幅提升量子芯片的良率,已经超过了英特尔;本源量子已经研发出中国首个自主研发的超导量子计算机本源悟源。  中天汇富投资控股集团董事长、本源量子创业合伙人黄罡向记者表示:“公司从诞生之日开始,就把实现自主可控作为根本目标。我国有庞大的应用场景,有生机勃发的产业生态,为量子技术发展提供沃土。”  不管是科技攻关还是换道超车,都离不开国家政策的护航。国家“十四五”规划和2035年远景目标纲要提出,要加强原创性引领性科技攻关。  “近年来,在许多科技创新的关键领域,我国取得的成果可圈可点,一些企业脱颖而出进入国际市场参与全球化竞争,这与我国高度重视并出台产业政策进行资源支持密不可分。”中央财经大学数字经济融合创新发展中心主任陈端向记者表示。  中国半导体行业协会副理事长于燮康也对记者表示:“尽管我国半导体产业面临技术等各种挑战,但高速增长的国内市场规模也为产业升级优化提供了重要机遇。”
  • EUV光刻机“忙疯了”
    据市场消息,目前,ASML High NA EUV光刻机仅有两台,如此限量版的EUV关键设备必然无法满足市场对先进制程芯片的需求,为此ASML布局步伐又迈一步。当地时间6月3日,全球最大的半导体设备制造商阿斯麦(ASML)宣布,携手比利时微电子研究中心(IMEC),在荷兰费尔德霍芬(Veldhoven)开设联合High-NA EUV光刻实验室(High NA EUV Lithography Lab),并由双方共同运营。推动摩尔定律关键因素:High NA EUV技术据业界信息,High NA EUV技术是EUV技术的进一步发展。NA代表数值孔径,表示光学系统收集和聚焦光线的能力。数值越高,聚光能力越好。通过升级将掩膜上的电路图形反射到硅晶圆上的光学系统,High NA EUV光刻技术能够大幅提高分辨率,从而有助于晶体管的进一步微缩。ASML的High NA EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元。据悉,ASML最先进的高数值孔径EUV设备的数值孔径将从0.33提高到0.55,这意味着设备可以绘制更精细的电路图案。ASML官网消息指出,经过多年的构建和整合,该实验室已准备好为领先的逻辑和存储芯片制造商、以及先进材料和设备供应商,提供第一台原型高数值孔径EUV扫描仪(TWINSCAN EXE:5000)以及周围的处理和计量工具。据介绍,0.55NA EUV扫描仪和基础设施的准备工作始于2018年,在此之前,ASML和ZEISS(蔡司)已经能够开发High NA EUV扫描仪专用解决方案,涉及光源、光学元件、镜头变形、拼接、降低景深、边缘位置误差和叠加精度。与此同时,IMEC与其扩展的供应商网络紧密合作,准备了图案化生态系统,包括开发先进的光刻胶和底层材料、光掩模、计量和检测技术、(变形)成像策略、光学邻近校正 (OPC) 以及集成图案化和蚀刻技术。准备工作最近取得了首次曝光,首次展示了使用0.55NA EUV原型扫描仪在Veldhoven的金属氧化物光刻胶 (MOR) 上印刷的10纳米密集线条(20纳米间距)。此次联合实验室的开放,被视为High-NA EUV技术大批量生产准备过程中的重要里程碑。业界预计,随着该技术的不断成熟和普及,将在2025-2026年期间迎来大规模的量产应用。IMEC总裁兼首席执行官Luc Van den hove表示,High-NA EUV是光学光刻领域的下一个里程碑,有望在一次曝光中对间距为20纳米的金属线/空间进行图案化,并支持下一代DRAM芯片。与现有的多图案化0.33 NA EUV方案相比,这将提高产量并缩短周期时间,甚至减少二氧化碳排放量。因此,它将成为推动摩尔定律进入埃时代的关键推动因素。先进制程竞争开战:光刻机“挺忙的”在芯片制造中,先进制程技术是当前行业研发的重点,掌握研发最新制程技术的大厂主要是台积电、三星、英特尔,从三大厂的动态来看,先进制程研发之争已开启。而光刻设备是芯片制造过程中的核心步骤,目前ASML是全球唯一掌握High-NA EUV技术的设备厂商,随着先进制程芯片竞争日益升温,各大厂瞄准EUV先进设备开始抢购。从订单情况来看,ASML财报显示,今年第一季度公司新增订单金额为36亿欧元,其中6.56亿欧元为EUV光刻机订单。这一局,英特尔率先抢下了ASML大部分的High NA EUV光刻机。据此前外媒消息,ASML截至2025上半年的高数值孔径EUV(High-NA EUV)设备订单由英特尔全部包揽。并在前不久英特尔宣布完成了ASML High-NA EUV光刻机设备组装。这是ASML生产的首台High NA EUV光刻机,价值高达3.5亿欧元,英特尔计划用该款设备生产1.8nm以下的先进制程芯片。据了解,ASML还对外交付了第二台High NA EUV光刻机,但未透露买家信息。值得一提的是,ASML的订单已超过了十几台,但EUV设备的最大客户台积电却表示“不抢ASML新设备”。台积电业务开发资深副总经理张晓强此前表示,台积电A16制程不一定要用阿斯麦(ASML)High-NA EUV。现有EUV能力支持芯片生产到2026年底,届时A16制程将根据目前蓝图推出。三星电子方面,该公司联合ASML共同投资1万亿韩元在韩国建立新研发中心。该中心位于京畿道华城市ASML新园区前,将配备能够实施亚2nm工艺的先进高数值孔径EUV光刻设备,并将成为ASML和三星电子工程师使用EUV设备进行先进半导体研发合作的场所。据此前动态,三星电子已在ASML韩国华城新园区附近新获得了一块场地,将于明年开始建设,计划在竣工时引进[高数值孔径]设备,预计最晚会在2027年完成。三星电子还与ASML EUV光刻机组件供应商蔡司联手,在EUV领域深化合作。公开资料显示,蔡司集团是全球唯一的极紫外(EUV)光系统供应商ASML Holding NV的光学系统唯一供应商。据透露,每台EUV光刻机中包含了三万多个由蔡司提供的组件。三星电子此前指出,其目标是引领3nm以下的微制造工艺技术,今年计划采用EUV光刻技术量产第六代10纳米DRAM芯片。未来,三星电子积极寻求到2025年实现2nm芯片商业化,到2027年实现1.4nm芯片商业化。
  • ASML最先进的光刻机,花落谁家?
    4月上旬,全球光刻机龙头企业ASML发布了其最新一代极紫外线(EUV)光刻设备Twinscan NXE:3800E,该工具投影透镜拥有0.33的数值孔径,旨在满足未来几年对于尖端技术芯片的制造需求,包括3nm、2nm等小尺寸节点。ASML还计划进一步推出另一代低数值孔径(EUV)扫描仪Twinscan NXE:4000F,预计将于2026年左右发布。近日,据外媒消息,ASML截至2025上半年的高数值孔径EUV(High-NA EUV)设备订单由英特尔全部包揽,据悉,英特尔在宣布重新进入芯片代工业务时抢先购买了这些设备。由于ASML的高数值孔径EUV设备产能每年约为五至六台,三星等其他大厂或需要2025下半年后才能获得设备。ASML方面则计划未来几年要改善产能,年产能增加至20台。据悉,ASML的高数值孔径EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元(当前约26.47亿元人民币)。NA代表数值孔径,表示光学系统收集和聚焦光线的能力。数值越高,聚光能力越好,行业消息显示,ASML最先进的高数值孔径EUV设备的数值孔径将从0.33提高到0.55,这意味着设备可以绘制更精细的电路图案。自2017年ASML的第一台量产的EUV光刻机正式推出以来,三星的7nm、5nm、3nm工艺,台积电的第二代7nm、5nm、3nm工艺的量产都是依赖于0.33数值孔径的EUV光刻机来进行生产。随着三星、台积电、英特尔3nm制程芯片的相继量产,目前这三大先进制程制造厂商都在积极投资2nm制程的研发,以满足未来高性能计算(HPC)等先进芯片需求,并在晶圆代工市场的竞争当中取得优势。英特尔方面,自2021年起就提出了IDM2.0战略。目前其还处于高资本支出投入期,各地投资扩产计划相继开出,并且先进制程研发投入加速推进。目前晶圆代工部门还处于亏损阶段。财报显示,英特尔晶圆代工业务去年的营业亏损较2022年扩大34.6%至70亿美元,营收同比下降31.2%至189亿美元。当时英特尔预计,代工业务的营业亏损会在今年达到峰值,2027年左右实现盈亏平衡。今年一季度英特尔代工业务实现营收44亿美元,同比下滑10%,营业亏损25亿美元。扩产方面,2023年以来,英特尔相继公布了在美国、欧洲和以色列兴建半导体制造工厂的计划,在各地政府的纷纷补助下,总投资金额高达千亿美元。制程推进方面,英特尔即将完成“四年五个制程节点”计划,其中Intel 7,Intel 4和Intel 3已实现大规模量产。这样来看,英特尔或许能在未来斩获更多订单。三星在光刻机获得方面亦早有计划。今年一月,ASML韩国公司总裁Lee Woo-kyung透露,期待2027年带来三星电子和ASML的合资企业新研发中心的高数值孔径 (NA) 极紫外 (EUV) 设备。据悉,这个新的半导体研究中心是韩国总统尹锡悦去年对荷兰进行国事访问期间组建的半导体联盟的成果,三星电子和荷兰设备公司ASML共同投资1万亿韩元在韩国建立该中心。该设施将成为 ASML 和三星电子工程师使用 EUV 设备进行先进半导体研发合作的场所。该中心建于京畿道华城市ASML新园区前,将配备能够实施亚2纳米工艺的先进高数值孔径EUV光刻设备。Lee Woo-kyung表示,已在ASML韩国华城新园区附近新获得了一块场地,将于明年开始建设。计划在竣工时引进[高数值孔径]设备,预计最晚会在2027年完成。另外,据三星官方消息,近期,三星执行董事长李在镕(Jay Y. Lee) 访问位于奥伯科亨 (Oberkochen) 的全球光学和光电子技术集团总部当时,会见了蔡司公司总裁兼总裁Karl Lamprecht以及其他公司高管,以深化与蔡司集团在下一代EUV和芯片技术方面的合作。会上,双方同意扩大EUV技术和尖端半导体设备研发方面的合作伙伴关系,以增强双方的合作关系在代工和存储芯片领域的业务竞争。公开资料显示,蔡司集团是全球唯一的极紫外(EUV)光系统供应商ASML Holding NV的光学系统唯一供应商。据悉,三星电子的目标是引领3纳米以下的微制造工艺技术,今年计划采用EUV光刻技术量产第六代10纳米DRAM芯片。未来,三星电子积极寻求到2025年实现2nm芯片商业化,到2027年实现1.4nm芯片商业化。
  • 拟募资4亿,DUV光刻机供应商登上科创板
    南京茂莱光学科技股份有限公司(简称:“茂莱光学”)日前IPO过会,准备在科创板上市。茂莱光学计划募资4亿元,其中,2.25亿元用于高端精密光学产品生产项目,7855万元用于高端精密光学产品研发项目,9644万元用于补充流动资金。年营收3.3亿元茂莱光学作为精密光学综合解决方案提供商,专注于精密光学器件、光学镜头和光学系统的研发、设计、制造及销售,服务于半导体(包括光刻机及半导体检测装备)、生命科学(包括基因测序及口腔扫描等)、航空航天、无人驾驶、生物识别、AR/VR检测等应用领域。茂莱光学主要产品覆盖深紫外DUV、可见光到远红外全谱段,主要包括精密光学器件、光学镜头和光学系统三大类。招股书显示,茂莱光学2019年、2020年、2021年营收分别为2.22亿元、2.46亿、3.31亿;净利分别为4367.54万元、4162.76万元、4718.64万元;扣非后净利分别为4186.98万元、3848.5万元、4341万元。茂莱光学2022年上半年营收为2.07亿元,净利为2609.57万元,扣非后净利为2587万元。范一、范浩兄弟为实控人IPO前,范一、范浩兄弟直接持有公司9.09%的股份,通过茂莱投资间接控制公司79.29%的股份,范一、范浩兄弟合计控制公司88.38%的表决权,且分别担任公司总经理、董事长,主持公司实际的经营管理,为公司的实际控制人。此外,紫金投资持股为6.49%,王陆持股为2.02%,南京创投(SS)、江宁创投(SS)分别持股为1.3%,周威持股为0.51%。IPO后,茂莱投资持股为59.47%,紫金投资持股为4.87%,范一、范浩分别持股为3.41%,王陆持股为1.52%,南京创投(SS)、江宁创投(SS)分别持股为0.97%,周威持股为0.38%。
  • ASML重申:在韩国建光刻机维修中心,投资2.12亿美元
    ASML称,公司首席执行官 Peter Wennink 正在访问该国,宣布参与当地芯片集群项目,并与当地员工会面,因为该公司正在扩大其在韩国的业务,因为芯片持续短缺。ASML 是世界上唯一的极紫外 (EUV) 光刻机生产商,这对于制造先进芯片至关重要。韩国是该公司最大的市场之一,行业领导者三星电子公司和 SK 海力士公司都位于韩国。该公司发言人表示,这次没有安排与主要客户的正式会面,并拒绝透露此次行程的更多细节。Wennink 上一次访问该国是在 11 月,他会见了三星和 SK hynix 的官员,讨论芯片开发和供应问题。三星电子副会长李在镕于 2020 年 10 月访问荷兰 ASML 总部,就生产 7 纳米以下工艺芯片所必需的 EUV 设备的供应计划、先进半导体制造技术的合作以及行业前景进行了讨论。在最近接受英国《金融时报》采访时,文宁克表示,该公司无法足够快地跟上全球需求,业界担心关键芯片制造机器将面临两年的短缺。该市表示,周一,Wennink 访问了首尔以南约 40 公里的华城,与市政府官员讨论目前正在建设的半导体集群以及培养当地人才的方法。“在市政府的大力支持下,ASML 华城半导体集群进展顺利,”该市援引文宁克的话说,并补充说,首席执行官表示要继续与市政府密切合作。11 月,ASML 与华城签署了一份谅解备忘录,将投资 2400 亿韩元(2.12 亿美元),到 2024 年在那里建设一个 16000 平方米的芯片集群。这些设施将包括一个可容纳多达 1500 名员工的办公室、培训和 EUV 机器的维修中心。据该公司称,韩国是 ASML 的主要市场,占其 2021 年总净销售额的 33.4%。上一年为29.7%。这家总部位于 Veldhoven 的公司于 1996 年在韩国开始运营,其全球分销、支持和培训中心位于四个城市——清州、忠清南道、华城、平泽和利川,均位于京畿道。该公司表示,它拥有 1,400 多名当地员工,其中 70% 是年轻的千禧一代。今年早些时候,Wennink 表示,该公司将积极扩大韩国业务,到 2029 年再雇佣 1,000 名当地员工。ASML将2025年韩国的销售额目标扩至147.5亿欧元据韩国科技媒体ETnews报道,ASML 将其2025 年在韩国的极紫外 (EUV) 光刻机销售目标提高到 20 万亿韩元(约合147.5亿欧元)。这个数字是去年的两倍多。这主要得益于三星电子和SK海力士的投资大幅增加。报道称,ASML 去年的总销售额为 186 亿欧元(约合 25.22 万亿韩元)。其中,韩国地区的销售额为62.23亿欧元(约合8.43万亿韩元),中国台湾地区销售额为72.23亿欧元(约合9.93万亿韩元),分别比上年增长50%和55%。与 2021 年相比,ASML 预计 2025 年韩国销售额的比例将增加一倍以上。考虑到每年两位数的增长率,很有可能达到20万亿韩元。截至去年,ASML 已售出 42 台 EUV 光刻机设备,其中三星电子和台积电采购的 EUV 设备最多。报道称,预计三星电子今年将引进10 余台 EUV 光刻机,以加强其先进的代工工艺。此外,SK海力士计划截至2025年签署价值4.75万亿韩元(约合35亿欧元)的EUV光刻机引进合同。
  • 新型激光直写无掩模光刻机在孚光精仪发布问世
    孚光精仪在上海,天津同时发布一款新型激光直写式雾无掩模光刻系统。这款无掩模光刻机是一款高精度的激光直写光刻机。这套无掩模光刻机具有无掩模技术的便利,大大提高影印和新产品研发的效率,节省时间,是全球领先的无掩模光刻系统。这款激光直写无掩模光刻机直接用375nm或405nm紫外激光把图形写到光胶衬底上。 激光直写无掩模光刻系统特色尺寸:925x925x1600mm内置计算机控制接口激光光源:375nm或405nm视频辅助定位系统自动聚焦设置 详情浏览:http://www.f-opt.cn/guangkeji.html 激光直写无掩模光刻机参数线性写取速度:500mm/s位移台分辨率:100nm重复精度: 100nm晶圆写取面积:1—6英寸衬底厚度:250微米-10毫米激光点大小:1-100微米准直精度:500nm Email: info@felles.cn 或 felleschina@outlook.com Web: www.felles.cn (激光光学精密仪器官网) www.felles.cc (综合性尖端测试仪器官网) www.f-lab.cn (综合性实验室仪器官网) Tel: 021-51300728, 4006-118-227
  • 美媒:荷兰抵制美要求限制光刻机对华出口
    “美国呼吁禁止向中国出售更多芯片设备,荷兰抵制。”美国彭博社22日以此为题报道称,荷兰外贸与发展合作大臣施赖纳马赫尔当天表示,在向中国出售芯片设备的问题上,荷兰将捍卫本国的经济利益。报道评论说,荷兰高级官员的这一表态再度表明,荷兰不愿顺从华盛顿切断中国半导体技术供应的企图。彭博社报道:美国呼吁禁止向中国出售更多芯片设备,荷兰抵制为限制中国,荷兰光刻机生产企业阿斯麦(ASML)最近一段时间成为美国政府施压的对象。据报道,施赖纳马赫尔22日对议员表示,在美国与其他盟友进行贸易规则谈判的过程中,荷兰将在阿斯麦向中国出售芯片设备的问题上作出自己的决定。“重要的是我们要捍卫自己的利益——我们的国家安全,以及我们的经济利益。”施赖纳马赫尔说,如果荷兰将光刻机的问题放进“欧盟的篮子”与美国谈判,结果就是他们把极紫外线(EUV)光刻机送到美国人手中,“我们的情况会更糟”。彭博社介绍称,极紫外线(EUV)光刻机是阿斯麦生产的高端芯片制造设备。这并非施赖纳马赫尔首次就芯片制造设备对华出口问题发声,18日接受新鹿特丹商报(NRC)采访时,她表示美国不应指望荷兰毫无异议地采纳其对华出口限制措施,“荷兰不会一比一照搬美国(对华出口)的措施”。施赖纳马赫尔当时表示:“我们会做出自己的评估——我们是在与日本和美国等伙伴国家磋商后做出这一评估的。”她同时提到:“我们的出口许可政策已经有了限制。”施赖纳马赫尔称,荷兰可能自行对中国采取某些出口管制措施,但她没有对此进行具体说明。据美媒此前报道,美国于10月7日出台一系列新规,禁止将使用美国设备制造的某些芯片销售给中国。多家西方媒体报道称,美国也在鼓动和拉拢盟友加入打压中国半导体的阵营。彭博社提到,美国负责工业和安全的商务部副部长艾伦埃斯特维兹本月将前往荷兰讨论出口管制问题。美方不仅施压荷兰政府拒绝批准阿斯麦公司向中国出售最先进的光刻机,还一直试图要求禁止该公司对华销售部分旧款光刻机。外媒此前报道称,受美国对华出口禁令影响,阿斯麦第三季度订单有所减少。对于拜登准备向日本荷兰施压阻止芯片技术流向中国一事,中国外交部发言人赵立坚11月7日表示,美方此举不是堂堂正正的大国所为。当然,美方滥用国家力量,倚仗技术优势,对盟国经济胁迫,以维持自身霸权私利,早已不是什么新鲜事。美方将科技和经贸问题政治化、工具化、意识形态化,对别国搞技术封锁、技术脱钩,其用心人尽皆知。企图堵别人的路,最终只会堵死自己的路。我们希望有关方面秉持客观公正立场,从自身长远利益和国际社会根本利益出发,独立、自主地作出正确判断。彭博社22日报道提到,欧盟谈判代表正与美方就一些有争议的贸易问题进行磋商。以法国为代表的一些国家表示,(美方)的相关措施可能损害欧洲经济,并表示可能向世界贸易组织提起申诉。这些问题将成为下月初欧盟和美国官员举行的高级别会议——贸易与技术理事会上的话题。
  • 紫外光谱技术与科学应用研讨会召开
    1月15日,由中国科学院南京天文光学技术研究所主办的紫外光谱技术与科学应用研讨会召开。30余位专家学者参加了此次研讨会。研讨会旨在促进相关领域的应用与研究交流,探讨紫外光谱设备在天文、大气等科学领域的发展趋势和应用融合,推动紫外光谱技术的发展与创新。南京天光所、中国科学院长春光学精密机械与物理研究所、南京天仪公司、中国科学院国家天文台、中国科学院云南天文台、南京理工大学、长春理工大学、中国科学院大气物理研究所研究人员分别作了题为“暗弱目标紫外光谱仪”“高精度刻划光栅研制”“南京天仪光电仪器产业化进展”“LAMOST 望远镜介绍”“瞬变源的紫外辐射”“干涉测量技术及应用”“光学多光谱多轴一致性测试”“基于风云卫星的气溶胶和地表太阳辐射反演研究”的报告。与会人员就紫外光谱技术与科学应用的最新研究进展、应用案例和前沿问题进行探讨,展望了紫外光谱技术的未来发展方向和在元素起源、瞬变源研究、行星探测、气溶胶反演、环境监测等方面的应用前景。
  • 高效/便宜/轻便的紫外光源发生器问世
    高密紫外光源在信息存储、显微仪器和化学分析方面具有广泛应用前景   据美国物理学家组织网11月29日报道,现有的紫外光源功率较低,笨重且昂贵,美国密歇根大学研究人员开发出一种更加智能化的方法来制造高密紫外光源,而且耗能更少,在信息存储、显微仪器和化学分析方面具有广泛应用前景。该研究发表在最新出版的《光学快递》上。   研究人员改进了一种光学共振器,能将廉价的电信红外光变成高能紫外激光束。该共振器是一种毫米级的铌酸锂回音廊式共振器,内部制作成精密的结构并经过抛光使其表面变得极为光滑,当输入光束通过内部的共振线路后,就会获得能量。   研究人员解释说,新型共振器是一种4倍频的激光发生器,能连续发射紫外光。在实验中,他们驱动电信红外光束与共振器结合,通过一个钻石棱镜能产生紫外、可见、近红外和红外四种光谱,并可通过多模光纤收集。   “如果把激光从绿变蓝,它的效率就会下降,要是变成紫外激光就更困难。这一法则最先由爱因斯坦提出来,用以解释为何绿色激光指示器中包含的不全是绿色激光,它其实是把一种红色激光的波长一分为二变成了绿色激光。”领导该研究的密歇根大学电力工程与计算机科学系副教授莫纳加洛希说,“我们优化了光学共振器的结构,能在更宽光波范围获得更多能量,用小功率的红外光制出了低成本而且波长可调的紫外光源。”   加洛希还指出,紫外光源在化学探测、高清医学成像、高精集成线路印刷以及扩展计算机内存方面有广泛应用。但目标波长越短,生成激光就越困难,效率也会更低。倍频转化就像把一个喇叭的音量调高,得到一种新频率的声音。新技术驱动光束通过非线性介质,能生成光分支并使其加倍,获得的紫外光频率和能量是原来输入光束的4倍,波长是原来的1/4。
  • 合肥研究院高性能紫外光探测器研究取得进展
    p   近期,中国科学院合肥物质科学研究院固体物理研究所研究员李广海课题组在高性能紫外光探测薄膜器件方面中取得进展,相关结果发表在ACS Applied Materials & amp Interfaces上,并申请国家发明专利2件。 /p p   紫外探测器在空间天文望远镜、军事导弹预警、非视距保密光通信、海上破雾引航、高压电晕监测、野外火灾遥感及生化检测等方面具有广泛的应用前景。在实际应用时,由于自然环境的不确定性,待测目标的紫外光强度通常不高,环境中存在着大量对紫外光具有强吸收和散射能力的气体分子或尘埃,导致最终到达探测器可检测的紫外光信号非常弱。因此,提高紫外探测器对弱光的探测能力至关重要。探测率(detectivity)是衡量探测器件对弱光检测能力的重要指标,探测率由响应度(responsivity)和暗电流密度共同决定。响应度越高,暗电流密度越低,器件的探测率越高。高探测率更有利于弱紫外光的探测。然而,对于大部分半导体光导探测器而言,响应度高的器件常伴随着较高的暗电流 提高材料质量,减少缺陷可降低器件暗电流,但响应度随之减小。因此,器件探测率难以提升,限制了光导探测器在弱紫外光检测方面的应用。 /p p   针对上述问题,李广海课题组的副研究员潘书生等在前期透明高阻薄膜的研究基础上,提出以中间带半导体为核心材料构筑紫外探测器的新方法。中间带具有高态密度,能够有效俘陷本征缺陷在导带上产生的电子,从而降低器件暗电流 另一方面,光照时,中间带上储存的载流子能补充到价带上,并被光激发至导带贡献光电流,因此中间带半导体材料紫外探测器能够实现在降低暗电流的同时,保持器件较高的响应度。采用磁控反应溅射技术,沉积Bi掺杂SnO2薄膜,并通过优化实验设计和参数,构筑出了基于中间带半导体薄膜的光导型紫外探测器件。性能测试结果显示,器件暗电流降低至0.25nA,280nm波长紫外光响应度达到60A/W,外量子效率为2.9× 104%,探测率达到6.1× 1015Jones,紫外—可见光抑制比达103量级。器件的动态范围高达195dB,这说明Bi掺杂SnO2薄膜光导探测器可检测极其微弱的紫外光(等效每秒300紫外光子),对较强的紫外光也可探测。 /p p   该研究工作得到了国家自然科学基金与合肥研究院固体所所长基金的支持。 /p p style=" text-align: center " img width=" 450" height=" 349" title=" W020170907540355593507.jpg" style=" width: 450px height: 349px " src=" http://img1.17img.cn/17img/images/201709/noimg/1086db54-ce3a-4a29-b90b-ed2b9dbbf2f4.jpg" border=" 0" vspace=" 0" hspace=" 0" / /p p   Bi掺杂SnO2薄膜光导探测器件性能:(a) 响应度,(b) 外量子效率,(c) 探测率和 (d) 噪声等效功率。 /p p /p p /p
  • 杨学明:“点亮”世界上最耀眼的极紫外光源
    一个人如果对一个方向没有兴趣,就很难真正在科学研究上有很好的发展,兴趣是从事科学研究工作的内在推动力。杨学明中国科学院院士、南方科技大学理学院院长“基于可调极紫外相干光源的综合实验研究装置”(以下简称大连相干光源)项目近期传来好消息——分子反应动力学国家重点实验室、大连光源科学研究室研究员江凌和中国科学院院士杨学明团队与清华大学教授李隽研究组合作,利用自主研制的基于大连相干光源的中性团簇红外光谱实验方法,在类冰中性水团簇七聚体中发现了多个棱柱状和笼状结构,为揭开液态水至微冰的氢键网络演化机制提供了新的思路。对领导该装置研发建设的杨学明来说,团队成员的重要发现值得庆贺,大连相干光源持续产出重量级成果更令人兴奋。作为分子反应动力学国家重点实验室主任,杨学明几十年来遨游于钟爱的学术世界,在国际分子反应动力学领域取得系列重大成果,提升了我国在该领域的国际地位。更让杨学明感到自豪的是,他推动和主导了诸多原创科研仪器的设计研发工作,极大地提升了我国科研仪器的水平。“我是个很幸运的人,一辈子都在做自己特别喜欢的事,而且这些事多少为国家作了些贡献。”杨学明感慨道。从零开始,回国入职大连化物所杨学明学术生涯的转折点出现在2001年。此前,在美国加州大学圣巴巴拉分校获得博士学位后,杨学明在美国普林斯顿大学和加州大学伯克利分校从事了几年博士后工作,而后应诺贝尔化学奖获得者李远哲的邀请,赴台湾原子与分子科学研究所工作,从副研究员干到终身研究员,一待就是6年。2001年,杨学明到中国科学院大连化学物理研究所(以下简称中科院大连化物所)访问。回到攻读硕士学位的母校,杨学明感慨万千,他那颗想要回国干一番事业的心更加炽热。彼时,因出色的学术成绩,杨学明已蜚声业内。正在外地出差的、时任中科院大连化物所所长包信和得知他造访的消息,第一时间拨通了他的电话,询问他是否有兴趣到所里工作。杨学明欣然接受了邀请。而这一声应允,意味着一切要从头开始。原本蒸蒸日上的科研事业要中断,辛苦研制的科学仪器无法搬迁随行,还要从零开始组建科研团队… … 杨学明深知,已过不惑之年的他将要面临怎样的艰辛。但他依然很坚定。回国后,杨学明担任了中科院大连化物所分子反应动力学国家重点实验室主任。中科院大连化物所为其开辟了“绿色通道”,拨给杨学明1000万元启动经费,并尽可能地为其提供自由而优越的科研环境。入职后,杨学明的第一项工作是研究氟加氢反应共振态。“氟氢体系是化学激光领域最重要的研究内容之一。”杨学明说,幸运的是,他很快在这一领域取得了一系列重要成果。由杨学明领导完成的研究工作,连续两年入选“中国十大科技进展”。短短几年,他所带领的团队就成为化学反应“共振态”领域国际知名的研究团队,而分子反应动力学国家重点实验室也成了国际上在这一领域具有重要影响力的研究基地。回首过往,杨学明动情地说:“没有什么比自己的成果给国家科研带来价值更重要。”站位高远,领导研发高端科研仪器除了产出系列重磅成果,让杨学明更骄傲的,是一件件自主设计研发的科研仪器。这些仪器是杨学明驰骋学术战场最有力的“武器”,是他与钟爱的化学世界对话的工具。过去20多年,正是利用自行研制和原创的国际领先的科学仪器,杨学明在化学反应动力学研究方面取得了备受瞩目的研究成果。“我的工作就是用实验物理的方法来研究化学反应,而研究工作的水平取决于实验思路的设计及特殊仪器设备的研制。”杨学明说,“在当代绝大多数科学领域中,先进科学仪器都发挥着非常重要的作用,科学仪器也是国家科技硬实力水平的重要体现。”杨学明领导研发的总预算达1.4亿元的国家重大科研仪器设备专项——大连相干光源已于2018年通过验收。这是我国第一台大型自由电子激光用户装置,也是全球唯一运行在极紫外波段的自由电子激光装置,是世界上最亮的极紫外光源。极紫外光源是对分子进行激发和软电离最有效的光源,有助于科学家在原子分子水平上开展一系列重大科学问题研究。研制一个高亮度极紫外自由电子激光光源,是杨学明在加州大学伯克利分校做博士后研究时就有的一个梦想。“这也是我回中科院大连化物所最想做的事情之一。幸运的是,我得到了自然科学基金委的大力支持。这个梦想终于得以实现。”杨学明说,自然科学基金委在当年资助了体量相对较大的科研仪器设备研发,体现了其魄力和远见。利用这一先进光源,在已开展的实验研究中,科研人员取得了一系列重要研究成果。目前,杨学明正在积极推动我国新一代高重频自由电子激光装置的发展,努力推进深圳规划中能X射线自由电子激光和大连极紫外自由电子激光项目的建设,为科研工作者提供世界上最先进的极紫外和软X射线光源。和当年建设大连相干光源一样,杨学明深知,高重频X射线自由电子激光装置的建设也是一件非常难的事。“但是,我们就是想要做一些别人没做过的事情。”杨学明说。“过去几十年里,我们国家在很多技术领域取得了很大进步,但科研仪器研发的底子还是相对薄弱。科研领域许多方面受制于人,就是因为我们在高端科研仪器研发方面的实力不够。”作为全国人大代表,杨学明在不同场合为发展高端科研仪器鼓与呼。遵从兴趣,投身化学研究领域杨学明的研究内容是原子、分子级别的化学反应过程。原子、分子… … 这个微小却变幻无穷的世界让他着迷。和很多知名科学家从小就因各种机缘对科学产生浓厚兴趣不同,杨学明坦言,他直到进入大学后,才对科学有了初步的认识。“我上初中时虽然对化学很感兴趣,但那主要是因为遇到了一个好老师,与学科本身关系不大。”杨学明笑称。虽然大学学的是物理专业,但中学时对化学的浓厚兴趣,最终还是将杨学明带到化学的世界。“考研时,我极其坚定地选择了化学方向。”杨学明说,“一个人如果对一个方向没有兴趣,就很难真正在科学研究上有很好的发展,兴趣是从事科学研究工作的内在推动力。”人生没有白走的路。“大学物理专业背景,后来成为我在化学动力学研究上的优势。”杨学明说。个人经历让他深知做一个好老师的重要性,以及在科研范式发生重大变化的当下,推进学科交叉融合的紧迫性。2017年11月,杨学明有了一个新身份——南方科技大学理学院院长。“我非常有幸一直走在科学研究的最前沿,也特别兴奋有机会参与南方科技大学理学院的发展和建设,希望自己能够为国内的高等教育改革发展做些事情,特别是在学科交叉融合发展方面做些努力。”杨学明说。如今虽然事务繁杂,但杨学明依旧将至少一半的工作时间留给科研。“让我感到最快乐的,可能就是整天在实验室里面思考科学问题、与学生一起讨论。”杨学明说,“科研工作对我来说,就像南方人每餐都要吃米饭一样,一顿不吃就好像少了点什么。”
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制