当前位置: 仪器信息网 > 行业主题 > >

无掩模曝光机

仪器信息网无掩模曝光机专题为您提供2024年最新无掩模曝光机价格报价、厂家品牌的相关信息, 包括无掩模曝光机参数、型号等,不管是国产,还是进口品牌的无掩模曝光机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合无掩模曝光机相关的耗材配件、试剂标物,还有无掩模曝光机相关的最新资讯、资料,以及无掩模曝光机相关的解决方案。

无掩模曝光机相关的资讯

  • 国产进入新一轮研发潮:电子束曝光机市场与企业盘点
    电子束曝光机概述电子束曝光(EBL,也称之为电子束光刻)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。目前,活跃在科研和产业界的电子束光刻设备主要是高斯束、变形束和多束电子束,其中高斯束设备相对门槛较低,能够灵活曝光任意图形,被广泛应用于基础科学研究中,而后两者则主要服务于工业界的掩模制备中。电子束光刻的主要优点是可以绘制低于10nm分辨率的定制图案(直接写入)。这种形式的无掩模光刻技术具有高分辨率和低产量的特点,将其用途限制在光掩模制造,半导体器件的小批量生产以及研究和开发中。我国电子束曝光技术是六十年代后期开始发展起来的,到七十年代,近十家从事电子束曝光技术研究的单位,在北京、上海、南京分别以大会战的方式组织了较强力量的工厂、研究所和高等院校研制。当时由于国内缺乏基础,而电子束曝光本身又是一种多学科的综合性技术,几年之后,许多单位因任务改变而结束了此项工作。在2000年后电子束光刻设备研发热度逐渐降低甚至一度搁置。在《瓦森纳协定》禁止向中国提供高性能电子束光刻设备后,国内电子束光刻设备研发才重新被提起。在此之前,国内从事和引导电子束光刻设备研发的单位主要有中国科学院电工研究所、中国电子科技集团有限公司第四十八研究所、哈尔滨工业大学和山东大学等。目前性能最优的国产化电子束光刻设备包括中国电子科技集团有限公司第四十八研究所在2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程;中国科学院电工研究所2000年完成的DY-7 0.1μm电子束曝光系统可加工80 nm的间隙,在2005年交付的基于扫描电镜改装的新型纳米级电子束曝光系统,其系统分辨率可达30 nm,束斑直径6 nm。国内电子束光刻研究主要类型为高斯束,上述提及的设备均为高斯束类型,而在变形束方面主要有电工所DJ-2 μm级可变矩形电子束曝光机的研究成果,可实现最小1 μm的线宽,束斑尺寸0.5~12.5 μm区间内可调。而在多束方面在过去并无相关研究,仅有电工所开展了多束的前身技术——投影电子束曝光的研究,设备代号为EPLDI。在中国科学院电工研究所和中国电子科技集团有限公司第四十八研究所的牵头下,研发过程中将整机拆分为多个关键零部件和技术进行阶段性攻关,包括精密工件台、真空系统、图形发生器、偏转和束闸等。国内研发设备的加速电压停留在30 kV以下,扫描速度普遍不超过10 MHz,相应的拼接套刻精度均在亚微米量级,而电子束束斑在整机自主化研发设备中由于热发射钨电子枪和LaB6的限制停留在几十纳米量级,整体设备性能与国外顶尖设备有较大的差距。市场概况根据QYResearch研究团队调研统计,2022年全球电子束光刻系统(EBL)市场销售额达到了13亿元,预计2029年将达到22亿元,年复合增长率(CAGR)为6.9%(2023-2029)。电子束曝光(electron beam lithography)指使用电子束在表面上制造图样的工艺,是光刻技术的延伸应用。电子束光刻系统(EBL)即用于实现电子束曝光的系统。全球电子束光刻系统(Electron Beam Lithography System (EBL))的主要参与者包括Raith、Vistec、JEOL、Elionix和Crestec。全球前三大制造商的份额超过70%。日本是最大的市场,占有率约为48%,其次是欧洲和北美,占有率分别约为34%和12%。就产品而言,高斯光束EBL系统是最大的细分市场,占有率超过70%。在应用方面,应用最多的是工业领域,其次是学术领域。国外主流企业及进展RaithRaith是纳米制造、电子束光刻、FIB SEM纳米制造、纳米工程和逆向工程应用的先进精密技术制造商。客户包括参与纳米技术研究和材料科学各个领域的大学和其他组织,以及将纳米技术用于特定产品应用或生产复合半导体的工业和中型企业。Raith成立于1980年,总部位于德国多特蒙德,拥有超过250名员工。公司通过在荷兰、美国和亚洲的子公司,以及广泛的合作伙伴和服务网络,与全球重要市场的客户密切合作。Raith主要有五款EBL产品,EBPG Plus、Voyager、RAITH150 Two、eLINE Plus和PIONEER Two。EBPG Plus是一种超高性能电子束光刻系统。100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。Raith VOYAGER 光刻系统使用场发射电子源,具有可变的 10-50 keV 加速电位,50 兆赫兹偏转系统具有实时动态校正和单级静电偏转功能,可在小至 8 nm 的光刻胶中定义单线图案。激光控制平台能够加载1厘米见方的化合物半导体芯片,最大直径为200毫米(8英寸)的硅衬底。Raith150-two是可以应用于晶片级电子曝光同时拥有极高分辨率的电子束光刻设备。并是纳米技术研究中心理想的电子束直写工具。并可设定为自动光刻流程并具有mix&match曝光功能。Raith150-two应用在半导体工业的新器件生产和新流程工艺中。作为专业的光刻系统,Raith150-two包括了所有例如高度感应和晶片高度测量等相应功能。强大的晶片自动化曝光管理功能可以使所有必要的校准和曝光方案互相配合广泛使用的eLINE Plus系统是大学和研究中心寻求通过单一多功能电子束光刻(EBL)系统访问纳米制造应用宽带的最佳系统。eLINE Plus的先进光刻基础设施支持超高分辨率电子束光刻和大面积纳米加工。此外,eLINE Plus的多功能性结合了电子束光刻,纳米工程,超高分辨率和大面积SEM成像的世界,包括用于计量和过程控制的专用功能。PIONEER TWO 集成了电子束曝光及成像分析双功能,是高校和科研人员的理想选择。从理念上,PIONEER Two是一个全新的独特的设备,真正意义上实现了电子束曝光和成像的EBL/SEM结合。PIONEER Two将专业电子束曝光设备和电子成像系统所有的功能融合成一套独立的成套系统。多功能性、稳定性、用户友好性操作,使PIONEER Two系统适合于不仅追求纳米结构的制作及再观察功能,且需要材料及生命科学领域中对化学成分及结构进行分析的所有用户。NBL(Nanobeam)NanoBeam是一家英国公司,成立于2002年,主要生产高性能和高性价比的电子束光刻工具。据媒体报道,2016年,徐州博康收购了NBL落户徐州经济技术开发区,并将在园区内主要生产电子束光刻机、扫描电镜、高压电源以及电子束枪、无磁电机等高科技产品。NBL的电子束光刻机线宽小于8nm的工艺,相关产品已销往因英、美、德、法、瑞典、韩国等国家,中国的中科院微电子所、13所、55所、北京大学等单位已引进15台。Nanobeam 推出的NB5型电子束光刻机依靠特有双偏转系统和共轭关闸,实现在8英寸晶圆(兼容更小尺寸,任意形状样品)的样品单次曝光制备5nm图形结构。电子束加速电压20-100kV连续可调,束流0.2-120nA,写场拼接精度≤10nm,套刻精度≤10nm。3nm束斑直径时,束流可达到2nA。JEOL日本电子株式会社(JEOL Ltd., 董事长:栗原 权右卫门) 是世界顶级科学仪器制造商,成立于1949 年,总部设在日本东京都昭岛市武藏野3丁目1番2号,其事业范围主要有电子光学仪器、分析仪器、测试检查仪器、半导体设备、工业设备、医疗仪器等制造、销售和研发。JEOL集团的业务包括三个部分:科学/计量仪器、工业设备以及医疗器械。JEOL的电子束曝光机产品主要有电子束光刻系统(可变矩形束电子束光刻)、电子束光刻系统(圆形电子束光刻)等 。1967年,JEOL完成JBX-2A 电子束光刻系统;1998年,JBX-9000MV 电子束光刻系统完成;2002年,JBX-3030 系列电子束光刻系统完成;2017年,与IMS共同发布世界首台量产化电子束光刻机并投入市场。目前,JEOL的电子束曝光机产品主要包括JBX-8100FS 圆形电子束光刻系统、JBX-3050MV 电子束光刻系统、JBX-3200MV电子束光刻系统、JBX-9500FS电子束光刻系统和JBX-6300FS电子束光刻系统。JBX-8100FS 圆形电子束光刻系统JBX-8100FS圆形电子束光刻系统,具备高分辨率和高速两种刻写模式,非常适用于超微细加工以及批量生产。该设备减少了刻写过程中的无谓耗时,并将扫描频率提升至业界高水准的125MHz (以往机型的1.25~2.5倍),使其具备更高的生产能力。JBX-9500FS是一款100kV圆形束电子束光刻系统,兼具高水平的产出量和定位精度,最大能容纳300mmφ的晶圆片和6英寸的掩模版,适合纳米压印、光子器件、通信设备等多个领域的研发及生产。JBX-6300FS的电子光学系统在100kV的加速电压下能自动调整直径为(计算值)2.1nm的电子束,简便地描画出线宽在8nm以下(实际可达5nm)的图形。 此外,该光刻系统还实现了9nm以下的场拼接精度和套刻精度,性能比优越。利用最细电子束束斑(实测值直径≦2.9nm)可以描画8nm以下(实际可达5nm)极为精细的图形。JBX-3200MV是用于制作28nm~22/20nm节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。是基于加速电压50 kV的可变矩形电子束和步进重复式的光刻系统。利用步进重复式曝光的优点,结合曝光剂量调整功能及重叠曝光等功能,能支持下一代掩模版/中间掩模版(mask/reticle)图形制作所需要的多种补偿。JBX-3050MV 是用于制作45nm~32nm 节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。Hitachi日立(HITACHI)是来自日本的全球500强综合跨国集团,1979年便在北京成立了第一家日资企业的事务所。日立在中国已经发展成为拥有约150家公司的企业集团。为更好地解决邻近效应和高加速压电子对器件的损伤问题,低能微阵列平行电子束直写系统将有希望成为纳米光刻的最好选择。开展这方面研发有代表性的是美国 ETEC 公司和日本的日立公司。日立推出的50 kV 电子束 (EB) 写入系统HL-800M,为 0.25 - 0.18 微米设计规则掩模制造而开发,并得到了广泛的应用。 HL-800M1999年12月,日立公司宣布推出HL-900M系列电子束光掩模写入系统,该系统是为满足用户对高精度掩模的需求而开发的。该系统基于HL-800M系列,引入了新的电子光学、低失真级和并行处理功能,用于处理大量数据,以实现更高的精度和更高的吞吐量。书写系统并不是实现高级掩模的唯一因素;制造工艺也很重要,并且在掩模制造工艺中使用化学放大抗蚀剂方面正在取得进展。HL-900M系列以150纳米或更高分辨率的高精度标线片制造为目标。该系统基于HL-800M,为了提高精度,引入了(1)高精度电子光学,(2)低失真载物台,(3)高精度温度控制系统,以及(4)用于处理大体积图案数据的并行处理功能。ElionixELIONIX成立于1975年,是一家从事纳米级加工与检测的中小企业。ELIONIX拥有资本金2亿7000万日元,目前共有员工100名。成立40余年,ELIONIX专注于电子束光刻、电子束硬盘刻蚀、超微材料分析等技术的研发,获奖无数,并成为以上技术市场中的隐形冠军。2004年以后,ELIONIX积极进军海外市场,目前客户遍布于美国,欧洲,中国等知名大学(哈佛、麻省理工学院,清华、北大等)和公共研究所。ELIONIX的电子光刻装置能够稳定且精准地控制电子束,同时将震动和磁场等外部干扰因素降到最低,电子束最细可达5纳米。ELIONIX的电子光刻装置拥有着世界顶级水平,目前占有50%以上的世界市场份额。ELS-F125是Elionix推出的世界上首台加速电压达125KV的电子束曝光系统,其可加工线宽下限为5nm的精细图形。ELIONIX的电子束光刻ELS系列可应用于光集成电路、SAW元器件以及其他各种传感器上。除了电子束,ELIONIX还有离子束光刻装置。2023年,ELIONIX发布了电子束光刻系统“ELS-HAYATE”。这是最新型号,具有业界最快的 400MHz 扫描频率和业界最大的 5mm 视场尺寸。ADVANTESTAdvantest(ADVANTEST CORPORATION)是一家日本半导体设备公司,专门提供广泛的半导体设备测试解决方案。该公司成立于1954年,现已成为半导体行业的领先企业之一。爱德万测试(ADVANTEST)的F7000 电子束光刻系统具有高通量和卓越的分辨率,并能够在1X-nm技术节点的晶圆上创建非常精确和平滑的纳米图案。其字符投影、直接写入技术使其非常适合作为研发和原型设计的设计工具,以及生产小批量多类型设备的 LSI 生产线的解决方案。F7000 支持各种材料、尺寸和形状的基板,包括纳米压印模板和晶圆,并针对各种应用进行了优化,例如高级 LSIs、光子学、MEMS 和其他纳米工艺。此外,用户还可以选择最适合其需求的配置,无论是独立配置还是在线配置,使 F7000 能够支持从研发到批量生产的各种应用。IMS NanofabricationIMS成立于1985年,位于奥地利维也纳,在2009年获得了英特尔的投资,并在2015年最终被英特尔收购。自从被英特尔收购以后,IMS在 2016 年发布了第一款商用多束掩模写入器MBMW-101,该产品比 EUV光刻工具精度更高,但速度非常慢,这是它们仅用于制造掩模版的一个重要原因。IMS Nanofabrication是NuFlare(东芝)的竞争对手,但东芝的工具不太精确,而且速度较慢。此外,NuFlare的多束掩模写入器在IMS Nanofabrication研发多年后才开始进入市场。超过90%的生产EUV掩模是使用IMS Nanofabrication 的多光束掩模写入器制造的。如果没有IMS Nanofabrication的掩模写入器,所有EUV工艺技术都将陷入停顿。EUV工艺技术被用于7nm以来的所有台积电、英特尔的工艺节点。2023年,英特尔公司宣布出售其旗下子公司IMS Nanofabrication 20%的股权,交易金额为8.6亿美元。此次交易将使英特尔公司减少对该公司的控制权,但仍将继续与IMS Nanofabrication保持合作关系。台积电在9月12日的临时董事会上宣布,拟不超4.328亿美元收购英特尔手中IMS Nanofabrication约10%股权。MBMW-101完全开发的多束掩模写入器(MBMW)为28至5nm的掩模技术节点提供精度和极高的生产率。2014年2月,世界上第一台用于6英寸光掩模的多束掩模写入器 MBMW Alpha工具问世。2016年,MBMW的数据速率提高了10倍,达到120 Gbit/s。截至2016 年,IMS 一直为掩模行业提供MBMW-101掩模写入器生产工具,用于 7 纳米技术节点。CRESTEC株式会社CRESTEC于1995年在东京成立以来一直专注于EBL技术。作为世界上为数不多的EBL设备专业制造商之一,在世界范围内EBL光刻机的销售实绩已经超过100台。其制造的电子束光刻机以其独特的专业技术,超高的电子束稳定性,电子束定位精度以及拼接套刻精度赢得了世界上著名科研机构以及半导体公司的青睐。其中 CABL 系列更是世界上仅有的产品之一。通过日本丰港株式会社在东亚及北美地区国家开展业务,实现产品知名度提升也会用户解决了实际需求。CRESTEC CABL 系列采用专业的恒温控制系统,使得整个主系统的温度保持恒定,再加上主系统内部精密传感装置,使得电子束电流稳定性,电子束定位稳定性,电子束电流分布均一性都得到了极大的提高,其性能指标远远高于其它厂家的同类产品,在长达5小时的时间内,电子束电流和电子束定位非常稳定,电子束电流分布也非常均一。由于EBL刻写精度很高,因此写满整个 Wafer 需要比较长的时间,因此电子束电流,电子束定位, 电子束电流分布均一性在长时间内的稳定性就显得尤为重要,这对大范围内的图形制备非常关键。CRESTEC CABL 系列采用其独有的技术使其具有极高的电子束稳定性以及电子束定位精度,在大范围内可以实现图形的高精度拼接和套刻。VistecVistec Electron Beam集团是设计、生产电子束光刻系统的国际顶级企业,为前沿电子束光刻领域提供尖端技术解决方案。Vistec集团在德国和美国拥有生产基地,在美国、欧洲、中国、日本、台湾和韩国设有技术服务中心。集团包括两部分,德国耶拿的Vistec电子束有限公司主要生产成形电子束光刻系统。美国纽约密执安的Vistec光刻公司主要生产高斯圆形束电子束光刻系统。Vistec的光刻系统是以可变形状光束(VSB)原理为主,其中使用强度均匀分布的可变形状和尺寸的电子束在基材上光刻光阻图案(也称为曝光或写入)。此外可使用更复杂的电子束形状即客制固定形状进行曝光,特别是使用这些技术能加快电子束的写入速度。基于可变异形光束(VSB)的原理,这些系统可用于各种直接结构化,例如在硅和化合物半导体晶圆上直接生成结构,用于光掩模的生产以及集成光学和光子学的应用。可变形状光束光刻系统主要用于应用研究、掩模和玻璃基板市场以及半导体行业。Vistec的电子束光刻系统在半导体制造中被广泛应用,用于芯片的制备和加工,包括先进的逻辑芯片、存储芯片、传感器芯片等。目前主要型号包括VISTEC SB3050-2和SB254。Vistec SB3050-2 是一款基于可变形状光束的高分辨率电子束光刻系统,可实现 300 mm 晶圆和 9 英寸掩模的完全曝光。Vistec SB254是一款通用的 VSB 电子束光刻系统,可完全曝光最大200 mm晶圆和 7”掩模。NuflareNuFlare(中文:株式会社紐富来科技)在2002年8月成立,是从东芝机械剥离出来的企业,2018年, 他们的销售额为587亿日元,员工人数为626人。NuFlare位于日本的神奈川线,主要的产品是半导体生产设备。其中掩膜光刻设备(40-45亿日元/台)占销售额的90%。1976年12月,以电子束掩膜光刻设备为中心的半导体制造设备业务的技术从株式会社东芝移交给东芝机械株式会社。1984年6月,公司与株式会社东芝综合研究所联合完成Variable Shaped Beam(可变形电子光束)型首台机——电子束掩膜光刻设备“EBM-130V”。1998年,通过与株式会社东芝的联合项目,开发Variable Shaped Beam(可变形电子光束)型电子束掩膜光刻设备EBM-3000,并进行产品化,成为了首台商用机,对应电路线宽为180 nm-150nm。开发并投产能够应对90nm制程的电子束掩膜光刻设备EBM-4000,并进行产品化(至此为东芝机械株式会社半导体设备事业部)。2002年,株式会社紐富来科技全面继承东芝机械株式会社半导体设备事业部的业务,并开始开展业务。2004年,NuFlare开发应对电路线宽65nm的“EBM-5000”,并进行产品化。2008年,开发并投产EBM-7000(应对32nmhp制程)。2011年,开发并投产EBM-8000(应对14nmTN/22nmhp制程)。2013年,开发并投产EBM-9000(应对10nmTN制程)。2019年,开发并投产EBM-9500PLUS(应对TN5nm/7nm+制程),并开发EBM-8000P(应对14/16nm、22-45nmhp制程)。2022年,开发并投产MBM™-2000(应对3nmTN制程)。NuFlare主要是由东芝机械与东芝合资成立的半导体先进制程设备,主要产品线是光罩微显影及缺陷检测。Nuflare原本是于1997年作为生产和销售印刷设备、造纸设备的东芝机械冲压工程设备公司成立,2002年从东芝机械继承了半导体生产设备业务,并开始了事业。与东芝集团的资本关系始于2002年东芝收购Nuflare的普通股份,后来慢慢提高出资比例,到2012年成为东芝的关联子公司。早前,东芝宣布要将NuFlare全盘拿下,但却半路杀出了个程咬金HOYA。HOYA在半导体制程中所使用的光罩基板拥有 7 成以上市占,另外该公司也有提供光罩解决方案。若HOYA能取得NuFlare Technology的经营权,将为该公司带来强大助力。因此自 2017 年之后,HOYA 就曾经多次向NuFlare Technology敲门,希望获得合作机会。为此在东芝于2019 年 11 月 13 日时宣布,要以每股 1.19 万日圆的价格来公开收购子公司 NuFlare Technology 的股票,但HOYA 在股票收购价格的设定上,硬是比东芝所开出的条件高出了 1 千日圆。这就给东芝的收购带来阻碍。在经过了几个月的拉锯战之后,东芝终于将这家制造企业收归囊中。MultibeamMultibeam Corporation总部位于加利福尼亚州圣克拉拉,是领先的Multicolumn电子束光刻技术(MEBL)开发商。Multibeam开发了微型全静电柱,用于电子束光刻。电子束柱阵列同时并行工作,可以提高晶圆加工速度。Multibeam在紧凑的模块中以阵列的形式排列其微型柱。阵列中的每一个小列产生一束电子束,控制其形状和轨迹,并将其聚焦到晶圆上以写入电路图案。阵列中的所有列都独立并行写入,以在生产环境中实现前所未有的电子束写入速度。MEBL的快速,可扩展的直写是由一个专有的数据准备系统。由于MEBL是无掩模的,DPS将行业标准GDSII或Oasis格式的数据库(其中存储了每层和所有层的IC布局数据)连接到所有MEBL列控制器。每一个MEBL列控制器分别指导其电子束在晶圆上书写图案,所有这些都是同时进行的。每个模块包括多列阵列、精密晶圆台和高精度反馈控制,这些高精度反馈控制与高精度光刻所需的其他传感器和子系统无缝集成。小型MEBL设备模组占地面积(约2英尺×2.5英尺)约为等离子蚀刻设备模组的大小,使其与商用晶圆处理大型机台兼容,并简化了多个模组的集。JC Nabity自上世纪八十年代成立以来,美国JC Nabity Lithography Systems公司一直致力于基于商品SEM、STEM或FIB的电子束光刻装置的研制,其研发的纳米图形发生器系统(Nanometer Pattern Generation System纳米图形发生系统,简称NPGS,又称电子束微影系统)技术在全球同类系统中属于翘楚之作,世界各地越来越多的用户包括大学、科研机构及政府实验室在使用NPGS进行EBL研究工作. 为满足纳米级电子束曝光要求,JC Nabity出品的NPGS系统设计了一个纳米图形发生器和数模转换电路,并采用电脑控制。电脑通过图形发生器和数模转换电路驱动SEM等仪器的扫描线圈,从而使电子束偏转并控制束闸的开关。通过NPGS可以对标准样片进行图像采集及扫描场的校正。配合精密定位的工件台,还可以实现曝光场的拼接和套刻。利用配套软件也可以新建或导入多种通用格式的曝光图形。NPGS技术以电子显微镜为基础,提供了一个功能强大且操作简便的电子束曝光系统。事实上,NPGS可以应用到任何SEM, STEM或FIB以实现电子束光刻技术作为基础研究及技术开发。市场上还没有其他扫描电镜电子束曝光系统可以像NPGS一样提供既快速且高精度的电子束光刻技术,并且使用成本有了很大程度的降低。Mapper2019年1月28日,荷兰光刻机制造商ASML官方宣布,收购其竞争对手荷兰代尔夫特的光刻机制造商Mapper的知识产权资产。同时,ASML的官方声明中还写到,将为Mapper在研发和产品装配方面的高技能员工提供合适的职位。作为ASML的竞争对手,Mapper在2018年12月份被证实正式宣布破产,公司拥有270名员工和众多电子束光刻机相关的IP。Mapper曾经以为自己找到了半导体制造行业的痛点,无奈研发能力跟不上,最终错过了好时机。Mapper为了降低芯片制造商在掩模上的高昂费用,以及让光刻突破光波长的限制,选择使用电子束替代光源。电子束具有很高的分辨率、较大的焦深与灵活性。Mapper设备通过使用电子束书写而不再需要掩模,让芯片成本有望实现明显下降。但是,电子束也有自身的缺陷,就是速度比光源光刻要慢很多,Mapper通过大量增加电子束的数量来解决这个难题。但随着ASML在EUV光刻技术上的成功,以及Mapper电子束光刻的研发遇到了困境,ASML拿到了高端光刻机市场的绝大部分份额。Mapper一蹶不振以至于破产被收购资产。IBM20世纪70年代,单点高斯束电子束光刻系统开始逐渐替代缓慢的光机械图形发生器,成为半导体工业掩模制备的首选技术。同一时期,IBM公司开创了形状束的概念,后续进一步提出并实现了目前广泛应用于产业界的变形束电子束光刻技术,使得电子束光刻的加工效率得到极大的提高。但是由于电子之间的库伦相互作用使得电子束束斑模糊,限制了电子束束流和加工效率的进一步提高。因此为了减少库伦相互作用,后续20世纪90年代IBM与尼康合作提出了基于掩模的多束平行电子束投影曝光方案:PREVAIL(具有可变轴浸没透镜的电子束缩小成像技术)。该技术由IBM的Hans Pfeiffer领导的电子束研究团队最早研究开发,与尼康的合作旨在用这项技术研制高分辨率与高生产率统一的电子束步进机。在PREVAIL样机上,电子轰击钽单晶形成电子束,在中间掩模上形成1mm2子场,经电子透镜产生4∶1缩小图像;在片子上形成250μm2图形,电子束经曲线可变轴电子透镜(CVAL)在掩模平面上可偏移±10mm,在片子上则为±2.5mm,而掩模和片子同时连续移动,形成整个电路图形的曝光。在PREVAIL样机上用75 KV加速电压,用700nm厚的光胶,做80nm间隔线条,束偏移±2.5mm,曝光结果证实:偏移束和不偏移束形成的图像很少有差异,进一步证明了这种原理的可行性。Nikon的Kazuya Okamoto指出:现在光胶和掩模已不是主要问题,当前在致力于大的发射源、均匀的掩模照明和具有大子场、大偏移、对掩模热负荷小的低畸变透镜,这种电子束步进机将用于100nm曝光,并可延伸到50nm,产量20片/时(300mm片)。2003年,尼康向Selete交付了第一台基于PREVAIL技术的NCR-EB1A电子束步进器。它在单次拍摄中曝光了包含 1000 万像素的图案片段,并代表了大规模并行像素投影的首次成功演示。然而,随着浸没式光刻的快速实施,电子投影光刻(EPL)的机会之窗已经关闭,行业的兴趣已经转移到无掩模光刻(ML2)上。Zyvex LabZyvex Corporation 由 Jim Von Ehr 于 1997 年创立,旨在开发和商业化原子精密制造 (APM) 技术,以制造具有原子精密度的产品。2007 年 4 月,Zyvex Corporation 重组为三个独立的公司,以确保持续专注于产品:Zyvex Performance Materials LLC、Zyvex Instruments LLC 和 Zyvex Labs LLC。资产在三个公司之间分配,并为材料和仪器业务聘请了专门的管理人员。Zyvex Labs 有两个目标:1) 开发 APM;2) 开发微细加工和 3D 微组装技术。该公司的 MEMS 技术是在 Zyvex 为期 5 年、耗资 2500 万美元的 NIST ATP 项目期间开发的,目前正用于制造微型科学仪器,例如微型扫描电子显微镜和微型原子力显微镜,以及下一代纳米探测系统。2022年,Zyvex Labs宣称推出世界上最高分辨率的光刻系统 — ZyvexLitho1,该工具使用量子物理技术来实现原子精度图案化和亚纳米(768 皮米——Si (100) 2 x 1 二聚体行的宽度)分辨率。ZyvexLitho1 是一款基于扫描隧道显微镜 (STM:Scanning Tunneling Microscopy) 仪器,Zyvex Labs 自 2007 年以来一直在改进该仪器。ZyvexLitho1 包含许多商业扫描隧道显微镜所不具备的自动化特性和功能。ZyvexLitho1所采用的电子束光刻(EBL)技术核心是使用氢去钝化光刻(HDL)从Si(100) 2×1二聚体列(dimer row)重建表面去除氢(H)原子,氢去钝化光刻是电子束光刻(EBL)的一种形式。该机器的用途包括为基于量子点的量子比特制作极其精确的结构,以实现最高的量子比特质量。该产品可用于其他非量子相关应用,例如构建用于生物医学和其他化学分离技术的纳米孔膜。不过该产品的缺点是吞吐量非常低,它可能更适合制造小批量的量子处理器芯片。KLA-TencorKLA-Tencor 拥有一种他们称之为 REBL 的技术:反射电子束光刻。该技术最初由DARPA资助。但目前在多电子束直写领域,多个消息来源表明,KLA-Tencor正在退出该市场,专注于其核心检测和计量工具业务。REBL系统示意图。电子源通过磁性棱镜照亮数字模式发生器(DPG)。来自DPG的反射电子定义了要曝光的图案,它们再次穿过磁性棱镜,从而将它们与照明束分离。然后将DPG图像缩小并投影到晶片上。贝尔实验室上世纪90年代,除了IBM与尼康合作分别提出了PREVAIL的基于掩模的多束平行电子束投影曝光方案外,贝尔实验室也提出了SCALPEL(具有角度限制的投影式电子束光刻技术)方案。1999年,一群半导体器件和设备制造商宣布了一项联合协议,旨在加速将SCALPEL技术开发为生产光刻解决方案,以构建下一代集成电路。该计划的参与者包括ATMT和ASML的合资企业eLithTM LLC;朗讯科技公司;摩托罗拉半导体产品部门;三星电子有限公司和德州仪器(TI)。但在两年后,ATMT 和 ASML决定解散eLith LLC(成立14个月,旨在SCALPEL技术商业化),急剧转向极紫外技术(EUV)作为下一代光刻解决方案。国内企业及进展中国科学院电工研究所由中国科学院电工研究所承担的中科院知识创新工程重大项目——“纳米级电子束曝光系统实用化”在2005年通过了专家验收。该项目瞄准国内急需的电子束曝光设备,在攻克实用化样机关键技术基础上,研制了3台以扫描电镜(SEM)为基础,配备以激光定位精密工件台、DSP为核心的多功能图形发生器、控制用微型计算机、真空系统、控制软件和自动输片机构的新型纳米级电子束曝光系统,供科研单位用于纳米科技和半导体前沿研究,满足我国科研机构和国防建设需要。 在该项目在研究过程中,科研人员们还开发了3项具有自主知识产权的创新性关键技术。其中,开发的核心部件——以数字信号处理器(DSP)为核心,以Windows2000为操作系统的通用图形发生器,在自主研制数字信号处理和软件系统方面取得了重大突破。该图形发生器可接收GDSII、CIF、DXF图形数据,并可与SEM、扫描探针显微镜(SPM)、聚焦离子束(FIB)连接,实施曝光或加工,实现高精度图形拼接和套刻。在完成过程中,已将纳米通用图形发生器推向了市场,为我国纳米科技研究做出了重要贡献。此外,电工所微纳加工技术与智能电气设备研究部自行研制了国内首台圆形电子束曝光系统、微米级可变矩形电子束曝光系统和缩小投影电子束曝光系统;研制的纳米级实用化电子束曝光系统和图形发生器在国家纳米科学技术中心、清华大学、台湾大学等三十余家科研机构得到应用。中国科学院电工研究所还和北京中科科仪股份有限公司合作研制了小型电子束曝光机DY-2000A。中国电子科技集团公司第四十八研究所中国电子科技集团公司第四十八研究所(简称48所),成立于1964年,隶属于中国电子科技集团有限公司。48所的电子束曝光技术,是在1969年北京696工程会战的基础上,内迁长沙而发展起来的。建所以来,一直发展以三束(电子束、离子束、分子束)为主的微细加工技术,研制束加工技术、薄膜技术、热工技术等设备和研究有关应用工艺。电子束曝光机,在1975年研制出第一代实用产品,1982年研制出第二代实用产品。这两代产品都于1975年、1982年先后交给电子部13所使用,为该所制作微波器件、GaAs场效应器件及其它微细线条图形起到了重要作用。而四十八所2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程,处于国内先进水平。深圳量子科学与工程研究院深圳量子科学与工程研究院(以下简称深圳量子院)前身是于 2016 年成立的南方科技大学量子科学与工程研究所,该研究所 2017 年升格为南方科技大学量子科学与工程研究院。研究院于 2018 年 1 月 19 日挂牌成立,由深圳市科创委专项支持、依托南方科技大学建设,院长为中国科学院院士俞大鹏教授。据了解,围绕核心关键技术研发,研究院先后承担了多项关键科研装备的研制攻关任务,五年来总计申请国内外专利超 120 项,其中 34 项已获得授权。其中,电子束曝光机研发团队联合北京大学和中科科仪等单位,先后研制成功三套 30kV 电子束曝光机试验样机。泽攸科技近日,松山湖材料实验室精密仪器联合工程中心产业化项目研发再获新突破:项目团队成功研制出电子束光刻系统,在全自主电子束光刻机整机的开发与产业化过程中取得阶段性进展,初步实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。为了研制具有自主知识产权的电子束光刻机整机,精密仪器研发团队在松山湖材料实验室完成一期项目研发并成立产业化公司后,带资回到实验室进入“滚动发展”模式:产业化公司东莞泽攸精密仪器有限公司与实验室共同投资2400万元进行第二阶段研发,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,东莞泽攸精密仪器有限公司已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形。下一步,团队及产业化公司将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。电子束曝光机是半导体制造的基础设备,虽然市场较小,但各大半导体设备巨头纷纷通过收购等方式布局,其重要性不言而喻。随着最新的电子束曝光机的禁运,国产突破刻不容缓。据了解,目前国内还有多家科研院所和电镜企业正在布局研发电子束曝光机。整体来看,国际龙头企业在技术和市场上都处于主导地位,甚至进入产业化阶段,行业也已经经历了多轮的整合收购,技术成熟且先进,国产替代难度大。而国内电子束曝光机技术路线比较单一,研发断代严重,与产业应用差距较大,仍主要面向科研市场。当前,最新一轮的国产电子束曝光机研发潮已经来临,研发态势也由过去的科研院所大会战模式转向企业联合科研院所的市场竞争模式。但参与的企业普遍成立较晚,出货的产品不多,大部分仍处于早期研发阶段,但国产破局曙光初现。
  • 韩立:电子束曝光机是半导体制造的基础设备
    p style="text-align: justify text-indent: 2em "10月15日-16日,中国科学院半导体研究所、仪器信息网联合主办首届“半导体材料与器件研究与应用”网络会议(i Conference on Research and Application of Semiconductor Materials and Devices, iCSMD 2020),22位业内知名的国内外专家学者聚焦半导体材料与器件的产业热点方向,进行为期两日的学术交流。/pp style="text-align: justify text-indent: 2em "会议期间,来自中国科学院电工研究所的韩立研究员做了《电子束曝光及相关技术的研究》的报告。/pp style="text-align: center text-indent: 0em "script src="https://p.bokecc.com/player?vid=7657F36C41DF1A879C33DC5901307461&siteid=D9180EE599D5BD46&autoStart=false&width=600&height=350&playerid=621F7722C6B7BD4E&playertype=1" type="text/javascript"/script/pp style="text-align: justify text-indent: 2em "据介绍,电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。/pp style="text-align: justify text-indent: 2em "韩立在报告中谈到,电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。/pp style="text-align: justify text-indent: 2em "电子光柱体主要作用是通过控制束斑、束流、加速电压、最小线宽、写场尺寸和扫描频率,来实现束斑小,亮度高,速度快的曝光。但这些参数控制往往相互矛盾,对此韩立介绍了电工所和日本电子的解决方案。/pp style="text-align: justify text-indent: 2em "图形发生器主要用于解决复杂图形控制难题,以提高扫描速率、生产率和图形复杂度。如果直接对曝光点位进行曝光,数据量太大而难以处理,因此需要将复杂的原始图形切割成基本图形,这样就能用简单的参数来实现控制。为保证控制精度,图形发生器从单束发展到多束,同时用激光束来补偿位置的偏移。/pp style="text-align: justify text-indent: 2em "激光工件台以平面镜激光干涉仪作为整个系统的测量基准,主要有光栅扫描和矢量扫描两种工作方式。工件台主要性能指标包括了加工精度、拼接精度和套刻精度,主要通过结合激光干涉仪来实现。/pp style="text-align: justify text-indent: 2em "目前,我国电子束曝光机严重依赖进口,但国外已禁止对中国出售最新型号的设备。对此,韩立结合在电工所多年的电子束曝光技术研发经历和应用推广情况,深入探讨了如何在电子束曝光机研制中取得突破,提出了自己的一些真知灼见。/p
  • 重磅!俄罗斯计划研发无掩模X射线光刻机
    4月2日消息,据外媒报道,俄罗斯莫斯科电子技术学院(MIET)已经接下了贸工部的6.7亿卢布资金(约合5100万元人民币),准备研发制造芯片的光刻机,并号称该款光刻机工艺可以达到EUV级别,但技术原理完全不同,他们研发的是基于同步加速器和/或等离子体源的无掩模X射线光刻机。文章内容显示:“MIET已经在无掩模EUV光刻领域取得了进展,包括与国内其他科研机构和科学家团体联合开展的研究。该项目还将涉及Zelenograd公司ESTO和Zelenograd同步加速器,现在是国家研究中心库尔恰托夫研究所的技术储存综合体(TNK)Zelenograd。“基于在该国运行和发射的同步加速器,特别是在TNKZelenograd的同步加速器以及国内等离子源的基础上,创造技术和设备,将使处理具有设计标准的半导体晶片成为可能28nm、16nm及以下,”招标文件包含这项研究工作(研发)的要求。“无掩模X射线纳米光刻技术和正在开发的设备在国内和世界上都没有类似物。”据了解,X射线因为波长很短,几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于mems技术当中。目前国内有两个地方可以做X射线光刻,一个是合肥同步辐射,一个是北京同步辐射。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。目前最先进的光学光刻是EUV,极紫外光刻。我们也称之为软X射线光刻,既有光学光刻的特征,也有X射线光刻的特征。极紫外波长很短,没有透镜能够放大缩小,所以只能采用凹面镜进行反射式缩放。而掩模版也采用反射式,曝光方式也是扫描,整个系统在真空下运行。公开资料显示,承接了光刻机研发计划的“MIET”是俄罗斯高科技领域领先的技术大学。通过将现代实验室、对教育过程的全新认识以及教育、科学和工业进行独特整合,MIET成为微电子和纳米电子、电信和信息技术领域培训专家的领导者。该大学是俄罗斯大学发明活动排名中最强大的三所大学之一,是莫斯科国立大学排名中排名前五的技术大学之一,也是著名的英国出版物《泰晤士报》排名前20位的俄罗斯大学之一高等教育。实际上俄罗斯早已在芯片制造业上遭到了美国制裁。俄国内唯二半导体企业Ангстрем公司原计划通过AMD购买必要工艺设备,但这笔交易由于2016年Ангстрем公司上了美国商务部制裁名单而中止,其在泽列诺格勒的工厂因为制程工艺落后无法获得足够订单长期处于亏损状态债务超过1000亿卢布,2019年其最大债权方VEB.RF(俄罗斯国家开发集团)对其进行破产重组。当然俄另一家芯片制造商Микрон因祸得福获得了利用Ангстрем生产车间改造28纳米制程新生产线的机会,为其节省了10亿美元。俄国内半导体消费市场不到全球份额2%,如果没有政府推动,针对这样小市场的产业需求去研发制造需要投入几百亿美元成本的DUV\EUV光刻机是经济上极不合理的(全世界产业市场也就那么大)。另一方面俄军用、航天市场对芯片需求的批量不大,但种类多,需要经济上合理的小批量、多品种的产能。适用于大批量生产的投影式光刻机不能满足这种产业需求。俄国内有两条使用8英寸晶圆的生产线,分别属于АО «Микрон»和ООО «НМ-ТЕХ» 。6英寸晶圆的四条生产线,分属АО «Микрон», АО «Ангстрем», АО «ВЗПП-Микрон»和НИИСИ РАН,前面三个都属于上世纪90年代至本世纪初技术水平,值得注意的是最后那个用的是新的无掩膜直写。2014年荷兰Mapper公司与俄RUSNANO公司合资在莫斯科组建一家生产无掩膜光刻机核心组件微机电光学元件的工厂。该工厂生产的电子光学元件可以将一束电子束分成13000束电子束,并对每束电子束进行控制,从而极大提高了无掩膜电子束光刻机的生产效率,使这类光刻机用于设计阶段样品制造外,更加适应小批量生产的需求。Mapper公司多束无掩模光刻机,可以用于32纳米制成,其核心部件即由俄罗斯制造。更早时候,RUSNANO投资了瓦迪姆.拉霍夫斯基教授团队研制的纳米级定位器,使用该项技术可用于加工10纳米精度的非球面光学元件(用于紫外和X波段)。而这位瓦迪姆.拉霍夫斯基,是位大牛。1992年他与苏联时期在全联盟计量科学研究所工作的同事创立一家小公司接一些为苏联时期电子产品生产零件的零散订单。在生产过程中,他们被掩膜缺陷反复折腾,随着制成工艺缩小,就会出现新的问题,之前提出的解决方法都不再有效。而所需要的投资也越来越高,单是掩膜成本就从0.5微米时代的400美元增加到如今的70万美元以上。这时候拉霍夫斯基想到如果用全息生成图像的方法就可以避免掩膜缺陷对产品质量造成影响,据估计,即便缺陷占据全息掩膜面积1%,实际创建的图像质量也不会受到影响。掩膜局部缺陷对成像质量的影响降低了9-10个数量级。这同样可以延长掩膜的使用寿命和降低透镜成本(只需要简单的透镜来照射面罩),甚至利用这一技术可以实现3D光刻。但根据全息图像计算全息掩膜时,他们遇到了数学难题,为此他找到了现代渐近衍射理论的创始人弗拉基米尔安德烈耶维奇博罗维科夫教授,教授为他提供了计算方法。然而全息掩膜的计算量仍然需要超级计算机才能完成。之后他的开发团队致力于简化算法,直至能够在微机上实现,同时他们开发了一个软件包,用以生成全息掩膜(在此过程中他们发现如果用平面波再现全息图将使掩膜的拓扑结构变得无法制造,为此他们通过数学方法解决了会聚球面波的难题)。最初他找到RUSNANO,希望获得对其研发的全息投影光刻技术的投资。但RUSNANO的态度令他感到失望。之后这位老哥找到SEMI欧洲分会主席,于是他获得了瑞士Empa资金支持,并在2015年成立了Nanotech SWHL GmbH公司。按照这位大牛的观点,俄政府领导人熟悉大工业,但不熟悉技术密集型产业,缺乏苏联政府那样对有产业潜力的先进技术孵化投资的远见。而此次外媒报道的无掩膜X射线光刻机虽然无法满足大批量生产的需求。不过2020至2021年9月份,俄整个电子工业只得到2660亿卢布拨款,一座28纳米生产线和配套晶圆厂至少也要投资上万亿卢布,投入这么大一笔费用,俄国内市场也难以提供足够订单维持其运转。光刻机、芯片制造从来不是自古华山一条道,解决不同需求有不同的技术路径(例如大批量生产方面压印法也是比较有发展前景的工艺)。
  • “微莲花,微祝福” | 无掩膜激光直写光刻仪3D灰度曝光应用
    近年来,实现微纳尺度下的3D灰度结构在包括微机电(MEMS)、微纳光学及微流控研究领域内备受关注,良好的线性侧壁灰度结构可以很大程度上提高维纳器件的静电力学特性,信号通讯性能及微流通道的混合效率等。相比一些获取灰度结构的传统手段,如超快激光刻蚀工艺、电化学腐蚀或反应离子刻蚀等,灰度直写图形曝光结合干法刻蚀可以更加方便地制作任意图形的3D微纳结构。该方法中,利用微镜矩阵(DMD)开合控制的激光灰度直写曝光表现出更大的操作便捷性、易于设计等特点,不需要特定的灰度色调掩膜版,结合软件的图形化设计可以直观地获得灰度结构[1]。由英国皇家科学院院士,剑桥大学Russell Cowburn教授主导设计研制的小型无掩膜激光直写光刻仪(MicroWriter, Durham Magneto Optics),是一种利用图形化DMD微镜矩阵控制的直写曝光光刻设备。该设备可以在无需曝光掩膜版的条件下,根据用户研究需要,直接在光刻胶样品表面上照射得到含有3D灰度信息的曝光图案,为微流控、MEMS、半导体、自旋电子学等研究领域提供方便高效的微加工方案。此外,它还具备结构紧凑(70cm × 70cm X×70cm)、高直写速度,高分辨率(XY ~ 0.6 um)的特点。采用集成化设计,全自动控制,可靠性高,操作简便。目前在国内拥有包括清华大学、北京大学、中国科技大学、南京大学等100余家应用单位,受到广泛的认可和好评。结合MicroWriter的直写曝光原理,通过软件后台控制DMD微镜矩阵的开合时间,或结合样品表面的曝光深度,进而可以实现0 - 255阶像素3D灰度直写。为上述相关研究领域内的3D线性灰度结构应用提供了便捷有效的实验方案。图1 利用MicroWriter在光刻胶样品表面上实现的3D灰度直写曝光结果,其中左上、左下为灰度设计原图,右上、右下为对应灰度曝光结果,右上莲花图案实际曝光面积为380 × 380 um,右下山水画图案实际曝光面积为500 × 500 um 图2 利用MicroWriter实现的3D灰度微透镜矩阵曝光结果,其中SEM形貌可见其优异的平滑侧壁结构 厦门大学萨本栋微纳米研究院的吕苗研究组利用MicroWriter的灰度直写技术在硅基表面实现一系列高质量的3D灰度图形转移[2],研究人员通过调整激光直写聚焦深度以及优化离子刻蚀工艺,获得具有良好侧壁平滑特征的任意3D灰度结构,其侧壁的表面粗糙度低于3 nm,相较此前报道的其他方式所获得的3D灰度结构,表面平滑性表现出显著的优势。MicroWriter的灰度曝光应用为包括MEMS,微纳光学及微流控等领域的研究提供了优质且便捷的解决方案。图3 利用MicroWriter激光直写在硅基表面实现图形转移过程示意图图4 利用MicroWriter激光直写曝光在硅基表面转移所得的3D灰度结构的实际测量结果与理论设计比较,其中图a中红色散点表示实际图形结构的纵向高度,黑色曲线为图案设计结果;图b中左为设计图形的理论各点高度,右为实际转移结果的SEM形貌结果,其中标准各对应点的实际高度。综上可以看出其表现出优异的一致性图5 利用AFM对抛物面硅基转移结构的测量与分析,可以看到起侧壁的表面平滑度可以小至3 nm以下,表现出优异的侧壁平滑性 利用MicroWriter激光直写曝光技术,不仅可以直接制备任意形状的硅基微纳灰度结构,而且可以将制备的3D结构作为模具、电镀模板或牺牲层来应用在其他材料上,如聚合物、金属或玻璃等。这种直观化的激光直写技术在诸多维纳器件研究领域中表现出显著的应用优势和开发前景。 参考文献:[1] Hybrid 2D-3D optical devices for integrated optics by direct laser writing. Light Sci. Appl. 3, e175 (2014)[2] Fabrication of three-dimensional silicon structure with smooth curved surfaces. J. Micro/Nanolith. MEMS MOEMS 15(3), 034503 相关参考:英国皇家科学院院士、剑桥大学教授Russell Cowburn介绍:https://www.phy.cam.ac.uk/directory/cowburnr
  • 喜报!--昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理!
    喜报!昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理。上海昊量光电设备有限公司自2023年1月1日正式成为Microlight3D公司SMART PRINT UV系列DMD无掩光刻系统的中国区独家代理商,此次获得Microlight3D的授权,体现了Microlight3D对上海昊量光电设备有限公司市场销售的专业度及售后技术支持力量的高度认可。我们将一如既往的为国内广大用户提供更为优质的服务。Microlight3D成立于2016年,在格勒诺布尔阿尔卑斯大学(Université Grenoble Alpes,UGA)进行了长达15年的3D微型打印技术研发。格勒诺布尔阿尔卑斯大学创建于1339年,是一所拥有近七百年历史的国立综合研究型大学,是欧洲最古老的大学之一,教学科研实力处于法国顶尖、世界一流水平。作为具有世界影响力的法国公立大学,格勒诺布尔-阿尔卑斯大学先后诞生过3位诺贝尔奖获得者(克劳斯冯克利青、路易奈尔、热拉尔穆鲁),1位图灵奖获得者(约瑟夫斯发基斯)。Microlight3D公司一直在快速发展,得益于Smart Print UV System在世界各地的实验室和公立私立研究中心的大量销售。Microlight3D公司推出的DMD无掩模光刻系统Smart Print UV系列,是一种基于DMD投影技术的无掩模光刻设备,可兼容多种抗蚀剂和基材。Smart Print UV可以在微米分辨率下产生任何2D形状,而不需要硬掩模。https://www.auniontech.com/details-392.html 点击查看详请Smart Print UV 系统特点:&diams 最小特征尺寸1.5um&diams 可更换目标的可调节写入区域和分辨率&diams 兼容CAD文件及bitmap文件&diams 兼容SU-8、g线、h 线、i 线等绝大部分光刻胶&diams 兼容多种基材(硅、玻璃、柔性薄膜、金属、塑料等)&diams 兼容多种样品尺寸:2''和4''晶圆、4''和5''方形或者定制更大尺寸、显微镜矩形载玻片、14mm和25mm圆形载玻片&diams 反馈相机:聚焦,对齐,准直&diams 手动旋转台:360°可旋转,精度 0.1°&diams 桌面型,占地空间小&diams 曝光速度相比同类型产品更快!&diams 极具性价比!&diams 软件操作和设备维护简单!&diams 交货周期短!&diams 可免费打样! Smart Print UV 功能选件:&diams 1X Objective 磁吸快速更换镜头 10.6 mm x 5.9 mm FOV and 15 µ m resolution &diams 5X Objective 磁吸快速更换镜头2.1 mm x 1.2 mm FOV and 3 µ m resolution &diams 10X Objective 磁吸快速更换镜头1.06 mm x 0.59 mm FOV and 1.5 resolution&diams 4" 晶圆样品支架 &diams 玻璃载玻片样品支架&diams 多用途大型样品支架兼容4" & 5" 方形基板, 2" & 4" 晶圆, 显微镜载玻片, 14 mm & 25 mm 圆形载玻片&diams 定制化样品支架根据客户要求,可适应更大样品尺寸或多个样品;兼容柔性膜材基底(New!) &diams 保修期延期设备保修期2年,软件免费升级2年Smart Print UV 核心规格:型号SP-UV.StandardSP-UV.Advanced光源曝光波长:385nm;校准波长:590nm最小特征尺寸1.5um对准准度(1cm2区域)2um1um拼接精度 2um<1um最大曝光范围70×70mm2110×110mm2基板尺寸4英寸(wafer)5英寸(方形)写入速度77mm2/min220mm2/min 系统尺寸 长×宽×高:52(cm)×52(cm)×69(cm)软件包:电脑Windows 10Pro, 24inch屏幕SFTprint软件机器控制、步进重复、自动剂量测试、拼接、对齐SFT转换器将标准CAD格式(gdsii、dxf、cif、oas)转换为机器格式;包含CAD软件镜头选项:物镜1X2.5X5X10X写入区域(mm)10.56×5.944.2×2.42.1×1.21.06×0.59最小线宽(um)15631.5Smart Print UV 应用领域:Smart Print UV是为需要制作表面微图案、微结构的任何应用领域的完美设计,如MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等。 Microlight3D公司还有另外一款明星产品-双光子聚合3D纳米光刻机。2007年,第一代双光子聚合3D纳米光刻机microFAB-3D问世,在中国大陆、台湾和欧洲地区有大量的销售。2018年,公司获得了法国研究与创新部颁发的公共研究领域最具创新力年轻公司奖。2019年,推出了第一代紧凑型桌面式双光子聚合3D纳米光刻机。2019年,Microlight3D完全收购了无掩模光刻系统制造商SmartForceTechnologies。未来,上海昊量光电设备有限公司将获得Microlight3D更多的资源支持,昊量光电也将继续秉承互利共赢的发展理念,充分发挥自身强大的专业技术沟通和服务优势,力争为国内MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等应用领域的研究和推广贡献一份力量,满足并不断超越客户的期望,致力于为国内前沿的科研与工业领域提供优质的产品与服务,助力中国智造与中国创造。如果您对DMD无掩模光刻机感兴趣,请访问上海昊量光电的网页,欢迎继续关注上海昊量光电的各大媒体平台,我们将不定期推出各种产品介绍与技术新闻。更多详情请联系昊量光电/欢迎直接联系昊量光电关于昊量光电:上海昊量光电设备有限公司是光电产品专业代理商,产品包括各类激光器、光电调制器、光学测量设备、光学元件等,涉及应用涵盖了材料加工、光通讯、生物医疗、科学研究、国防、生物显微、物联传感、激光制造等;可为客户提供完整的设备安装,培训,硬件开发,软件开发,系统集成等服务。您可以通过我们昊量光电的网站了解更多的产品信息,或直接来电。
  • 新型激光直写无掩模光刻机在孚光精仪发布问世
    孚光精仪在上海,天津同时发布一款新型激光直写式雾无掩模光刻系统。这款无掩模光刻机是一款高精度的激光直写光刻机。这套无掩模光刻机具有无掩模技术的便利,大大提高影印和新产品研发的效率,节省时间,是全球领先的无掩模光刻系统。这款激光直写无掩模光刻机直接用375nm或405nm紫外激光把图形写到光胶衬底上。 激光直写无掩模光刻系统特色尺寸:925x925x1600mm内置计算机控制接口激光光源:375nm或405nm视频辅助定位系统自动聚焦设置 详情浏览:http://www.f-opt.cn/guangkeji.html 激光直写无掩模光刻机参数线性写取速度:500mm/s位移台分辨率:100nm重复精度: 100nm晶圆写取面积:1—6英寸衬底厚度:250微米-10毫米激光点大小:1-100微米准直精度:500nm Email: info@felles.cn 或 felleschina@outlook.com Web: www.felles.cn (激光光学精密仪器官网) www.felles.cc (综合性尖端测试仪器官网) www.f-lab.cn (综合性实验室仪器官网) Tel: 021-51300728, 4006-118-227
  • 卡尔蔡司扩建光刻设备光学元件工厂并扩建光掩模研发设施
    卡尔蔡司半导体制造技术公司(ZEISS SMT)是卡尔蔡司的子公司,生产半导体光刻设备的光学元件,宣布在德国黑森州韦茨拉尔(Wetzlar)开始建设一座用于DUV光刻设备光学元件的新工厂。 计划于2025年完工。新工厂计划竣工示意图(资料:卡尔蔡司)Wetzlar的生产基地生产DUV光刻设备的光学元件已有20多年的历史,但该公司表示,随着工业4.0、自动驾驶和5G等大趋势推动对半导体制造设备的需求,现有工厂的制造能力已达到极限,它将随着新工厂的建设而提高产量。 新工厂的生产面积将超过1,2000m2,将创造150个新工作岗位。Wetzler的现有工厂(380名员工)也在测试各种自动化新概念,并将结果纳入新工厂,并特别注意用于敏感测量的无振动结构,因为DUV光刻设备的光学产品需要纳米级精度。蔡司SMT最大的客户ASML将公司的大量积压归因于曝光设备光学镜头供应不足,这也提高了对蔡司SMT新工厂运营的期望。扩大德国研发基地卡尔蔡司还宣布,到2026年底,将投资超过2000万欧元扩建其位于德国黑森州罗斯多夫的光掩模研发设施。 该设施将增加一个300平方米的洁净室,并开发一个以纳米精度修复光掩模缺陷的系统。基于卡尔蔡司电子束技术的MeRiT系统甚至可以以纳米精度修复光掩模中的最小缺陷,许多半导体制造商使用该系统来修复光掩模。 由于半导体不断小型化、精密化和节能化,因此不断开发掩模修复系统也至关重要。
  • 涉及880台仪器设备,德州仪器扩能项目详情曝光
    近日,德州仪器半导体制造(成都)有限公司凸点加工及封装测试生产扩能项目(二期)竣工验收。该二期工程建设内容包括:在集成电路制造厂(FABB)新增凸点加工产能18.7975万片/年(全为常规凸点产品),在封装测试厂(AT)新增封装测试产能 10 亿只/年(均为常规QFN产品)。二期工程建设完成后,扩能项目新增凸点加工产能33.3975万片/年(全部为常规凸点33.3975万片/年),新增封装测试产能 21.48 亿只/年(其中常规QFN 15.48 亿只/年,WCSP 6 亿只/年)。仪器信息网通过公开文件查阅到该项目的相关仪器设备配置清单和工艺流程。FABB 集成电路制造厂主要生产设备清单.封装测试厂(AT)主要生产设备清单生产工艺:1、凸点加工晶圆凸点是在封装之前完成的制造工艺,属于先进的封装技术。该工艺通过在晶圆级器件上制造凸点状或球状结合物以实现接合,从而取代传统的打线接合技术。凸点加工制程即从晶圆加工完成基体电路后,利用涂胶、黄光、电镀及蚀刻制程等制作技术通过在芯片表面制作铜锡凸点,提供了芯片之间、芯片和基板之间的“点连接”,由于避免了传统 Wire Bonding 向四周辐射的金属“线连接”,减小了芯片面积,此外凸块阵列在芯片表面,引脚密度可以做得很高,便于满足芯片性能提升的需求,并具有较佳抗电迁移和导热能力以及高密度、低阻抗,低寄生电容、低电感,低能耗,低信噪比、低成本等优点。扩能项目凸点包括普通凸点和 HotRod 凸点两种,其主要区别在于凸点制作所采用的焊锡淀积技术不同,普通凸点采用植锡球工艺,工艺流程如下图所示,Hot Rod 凸点采用电镀锡银工艺,工艺流程如下图所示。扩能项目凸点包括 RDL(Redistribution Layer)、BOP-on-COA(Bump on Pad –Copper on Anything)、BOP(Bump on Pad)、BOAC (Bond Over Active Circuit)、BOAC PI (Bond Over Active Circuit with Polyimide)、Pb-free HotRod,上述各类凸点结构如下图所示,主要区别为层次结构和凸点类型不同。扩能项目各类凸点结构示意普通凸点加工主要工艺流程及产污环节注:普通凸点产品中的 BOAC 不含灰化、回流焊与助焊剂去除工艺Hot Rod 凸点加工主要工艺流程及产污环节凸点加工的主要工艺流程简述如下:(1)晶圆检测分类(wafer sorting):对来料晶圆进行检测,主要是检测晶圆有无宏观缺陷并分类。(2)晶圆清洗(incoming clean):由于半导体生产要求非常严格。扩能项目清洗工艺分为两种工艺,第一种仅使用高纯水,另一种使用 IPA 清洗,清洗后再用纯水进行清洗。IPA 会进入废溶剂作为危废收集,清洗废水进入中和废水系统进行处理。(3)烘干(Dehydration bake):将清洗后的晶圆烘干。该工序产生的烘干废气通过一般废气排气系统排放。 (4)光刻(Photo)扩能项目采用光刻机来实现电镀掩膜和PI(聚酰亚胺)层制作,包括涂胶、曝光,EBR和显影。涂胶是在晶圆表面通过晶圆的高速旋转均匀涂上光刻胶(扩能项目为光阻液和聚酰亚胺(PI))的过程;曝光是使用曝光设备,并透过光掩膜版对涂胶的晶圆进行光照,使部分光刻胶得到光照,另外部分光刻胶得不到光照,从而改变光刻胶性质;显影之前,需要使用EBR对边缘光阻进行去除。显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上形成了沟槽。通过曝光显影后再进行烘干,晶圆表面可形成绝缘掩膜层。扩能项目该制程使用了各类光阻液、聚酰亚胺、EBR、显影液及纯水,完成制程的废液统一收集,作为危废外运处置。显影液中由于含有四甲基氢氧化铵,将产生少量的碱性废气,由于其浓度很低,扩能项目将其通入酸性废气处理系统进行处理;显影液及显影液清洗水排入中和废水处理系统。光刻工艺示意图(5)溅射(SPUTTER)溅射属于物理气相沉积(PVD)的一种常见方法,即金属沉积,就是在晶圆上沉积金属。UBM(凸点底层金属)是连接焊接凸点与芯片最终金属层的界面。UBM 应在芯片焊盘与焊锡之间提供一个低的连接电阻。为了形成良好的 UBM,一般采用溅射的方法按顺序淀积上需要的金属层。扩能项目采用 Ti:W 合金-Cu的顺序进行溅射。溅射示意图(6)电镀(Plate)凸点电镀根据需求,可单纯镀铜,也可镀铜、镍、钯或镀铜、锡银,镀层厚度也有差异,可为铜膜或铜柱。扩能项目普通凸点电镀工艺包括镀铜膜、镀镍和镀钯。扩能项目 HotRod 凸点电镀工艺包括电镀底层铜(plate COA,Copper on Anything)、电镀铜柱(plate Cu POST)、电镀锡银。基本的电镀槽包括阳极、阴极、电源和电镀液。晶圆作为阴极,UBM的一部分作为电镀衬底。在电镀的过程中,铜、锡银溶解在电镀液中并分离成阳离子。加上电压后,带正电的 Cu2+、Sn2+、Ag+迁移到阴极(晶圆),并在其表面发生电化学反应而淀积出来。电镀工艺原理示意图如下:电镀工艺示意图扩能项目采用的铜、镍阳极为颗粒状,会全部消耗,不产生废阳极;扩能项目使用的镀钯、锡银阳极是镀铂钛篮,呈网状支架作为电镀阳极,不消耗也不更换,镀银采用烷基磺酸盐无氰镀银工艺。阳极金属如下图所示:电镀阳极实物图b.电镀操作过程进机台→将每片晶圆上到杯状夹具上→用超纯水预湿→镀铜→清洗→镀锡银(或镀镍→清洗→镀钯)→清洗→甩干→出机台。c.电镀清洗扩能项目电镀清洗采用单槽快速喷洗,清洗水直接排入废水处理系统,不重复利用,清洗废水排入 FABB 一楼电镀废水处理系统进行处理,保证处理设施出口一类重金属排放达标。清洗过程中产生有机废气排入有机废气处理系统统一处理。d.电镀槽液更换项目对电镀槽中电镀液离子浓度定期检测,适时添加化学药剂,保证电镀液可用。使用一段时间后,因电镀液中悬浮物浓度升高,需对电镀液进行更换。扩能项目依托 FABB 一层现有的2个2m³的电镀废液收集槽将电镀废液全部收集暂存,委托有资质的危废处理公司外运处置。电镀废液约半年排放一次,年排放量约为 3.5m³,因此收集槽的容积可满足废液收集需求。(7)去光阻(Resist stripping)电镀完成后,利用光阻去除剂去除电镀掩膜光阻,依次使用 NMP 与 IPA 进行湿式清洗,最后用纯水进行清洗,清洗后进行干燥。干燥通过自燃烘干或者 IPA吹干。(8)蚀刻(ETCH)将凸点间的 UBM 刻蚀掉。扩能项目采用湿法腐蚀。湿法腐蚀是通过化学反应的方法对基材腐蚀的过程,对不同的去除物质使用不同的材料。扩能项目采用过氧化氢作为 Ti-W 合金的腐蚀材料,普通凸点采用硫酸腐蚀铜,含锡银凸点采用磷酸腐蚀铜,产生的含磷的酸性废水排入 CUB5c 氢氟废水处理系统进行处理,不含磷的酸性废水排入中和系统进行处理。蚀刻完成后,使用气体吹扫晶圆表面进行去杂质。(9)灰化(Ash)剥离光掩膜的过程可以使用干燥的、环保的等离子工艺(‘灰化’),即用氧等离子体轰击光掩膜并与之反应生产二氧化碳、水等物质使其得以剥离。该过程产生一般热排气,排入一般排气。(10)凸点制作晶圆凸点工艺最主要的 3 种焊锡淀积技术是电镀、焊锡膏印刷以及采用预成型的焊锡球进行粘球。RDL、BOP、BOAC 等凸点采用粘球工艺(Ball place),粘球的一般操作过程为,首先在晶圆表面涂抹一层助焊剂,然后将预先成型的焊锡球沾在助焊剂上,接着进行检查,确保每个晶粒都沾有焊锡球。Hot Rod 等凸点焊锡淀积技术采用电镀锡银工艺。回流(reflow),该过程将焊料熔化回流,使凸点符合后续封装焊接要求。最后,再使用纯水对助焊剂进行清洗去除(Flux wash)。助焊剂清洗废水排入中和废水系统进行处理。(11)自动检测(AVI) 对凸点加工完的晶圆进行自动检测,确认是否有缺陷。至此,晶圆上的凸点制作完成。 (12)晶圆针测(Probe)在凸点完成后,晶圆上就形成了一个个的小格,即晶粒。针测(Probe)是对每个晶粒检测其导电性,只进行通电检测操作,没有任何化学过程。不合格晶粒信息将被电子系统记录,在接下来的封装和测试流程中将不被封装。扩能项目晶圆针测工序全部在 OS5 进行。(13)包装(Packing):利用塑料盒、塑料袋等对完成凸点的晶圆进行简单包装,然后进入AT厂房进行封装(后工序)。2、封装测试QFN 封装测试QFN 封装即倒装式四周扁平无引脚封装(QFN,Quad Flat No lead Package),扩能项目 QFN 封装包括传统 QFN 封装和 FCOL QFN 封装(Flip Chip on Lead frame QFN Package,框架上倒装芯片封装)。传统 QFN 封装和 FCOL QFN 封装的结构如图所示。传统 QFN 封装和 FCOL QFN 封装结构对比覆晶框架QFN在工艺流程上相较传统QFN主要区别在芯片与载板框架的连接方式,传统 QFN 通过金属导线键合,覆晶框架 QFN 通过芯片倒装凸点键合,相比传统工艺新增助焊剂丝网印刷、覆晶结合、助焊剂清洗、等离子清洗等工艺,以下对 QFN 封装的工艺及产污进行表述。贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保护晶圆的电路表面。该工序可能产生废胶带。(1)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(2)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。 (3)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(4)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(5)烘干:使用背面涂层烘烤设备将膜层烘干。(6)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(7)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000转每秒)或激光将晶圆切割成符合规定尺寸的晶粒(die)。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。激光划片属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式,用超纯水进行硅屑冲洗。(8)UV 照射:使用 UV 照射机进行 UV 照射使粘结剂失去黏性达到去膜的目的。(9)点银浆:将银浆点到框架上以备粘合用;(10)粘片:将芯片置入框架点银浆处;(11)银浆固化:在氮气保护环境下烘干固化,将芯片牢固的粘结在框架上;(12)引线键合:使用金线或铜线将芯片电路 Pad 与框架引脚 Lead 通过焊接的方法连接起来,实现电路导通,焊接采用超声波焊接,无焊接烟尘产生,主要产污为废引线。(13)助焊剂丝网印刷:在密闭机台内用丝网将助焊剂印刷到引线金属框架上,无排气。丝网采用 IPA 清洗,清洗有有两种情况,一种是用设备自动清洗,IPA 会喷到丝网上,然后用棉布擦拭,擦拭布吸收 IPA 及丝网上的脏物后就当作危废处理,没有废液,设备是密闭的,不连接排气;另外一种是人工擦拭,会在化学品通风橱内操作,也是用棉布擦拭,没有废液产生,通风橱连的一般排气。(14)覆晶结合:将晶圆 IC 反扣在引线金属框架上,让锡银铜柱对准丝网印刷的助焊剂。(15)回流焊:将覆晶结合后的芯片放在氮气保护的回焊炉内按一定的温度曲线通过该炉,使用回流焊的方式实现晶圆 IC 与引线金属框架的焊接,该过程使用的助焊剂无挥发性物质,后续使用专用清洗剂进行清洗。(16)助焊剂清洗:使用助焊剂清洗剂洗掉回流焊残留的助焊剂并用水冲洗干净。设备自带清洗废气冷凝装置,冷凝液进入废水处理系统,不凝气接入现有一般排气系统。(17)等离子清洗:使用等离子清洗剂激发氧氩等离子体实现更高级别的彻底清洗,将残留的微量氧化层清洗干净,清洗废气接入现有一般排气。 (18)塑封固化:使用环氧树脂对 IC 进行外壳封装。(19)去毛刺:去除塑封外壳毛刺并进一步烘烤固化成型将塑封固化好的芯片置入有机盐溶液中去除塑封外壳毛刺及溢出料,产生去毛刺废水。(20)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(21)切带:切开胶带使单个晶粒分离。(22)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流电运行测试、目视检查,以及运行速度测试等。(23)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(24)终检:使用最终检测设备进行终检。(25)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。传统 QFN 工艺流程及产污环节FCOL QFN 工艺流程及产污环节2、WCSP 封装WCSP 封装(Wafer Chip Scale Packaging,晶圆级封装),即在晶圆片未进行切割划片前对芯片进行封装,之后再进行切片分割,完成后的封装大小和芯片尺寸相同。此外,WCSP 封装无需载板框架,可直接焊接在 PCB 印制线路板上使用。凸点和针测完成后,晶圆即进入封装测试厂 AT 厂房进行 WCSP 封装及测试,主要工艺流程如下:(1)贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保护晶圆的电路表面。该工序可能产生废胶带。(2)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(3)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。(4)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(5)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(6)烘干:使用背面涂层烘烤设备将膜层烘干。(7)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(8)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(9)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000转每秒)将晶圆切割成符合规定尺寸的晶粒。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。(10)激光切片:首先进行晶圆黏片,即在晶圆背面贴上水溶性保护膜然后进行切割。激光切割属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式;激光可以切割任意形状,如六角形晶粒,突破了钻石刀只能以直线式加工的限制,使晶圆设计更为灵活方便。切割过程中使用超纯水进行硅屑冲洗。 (11)UV 照射:使用 UV 照射机进行 UV 照射去膜。(12)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流电运行测试、目视检查,以及运行速度测试等。(13)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(14)终检:使用最终检测设备进行终检。(15)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。WCSP 工艺流程及产污环节
  • 德国莱驰为315曝光辣条样品前处理提供完美解决方案
    2019年3月15日,中央广播电视总台315晚会曝光了危险的辣条,部分生产厂家生产条件极不卫生,无任何卫生防护措施,生产车间触目惊心。当晚11点59分, 国家市场监管总局官网发文称:市场监管总局要求速查严处“辣条” 生产经营违法行为。辣条(别名辣片)是以小麦粉、辣椒等为主要原料,添加防腐剂、 甜味剂、香精等添加剂制成的食品,因其口感好、 价格低廉,深受年轻人喜爱,甚至远销海外。辣条尚无统一制作标准,市面上辣条厂家一般按照地标执行生产,执行标准一般为DB41/T515-2007和GB2760。 为了实现对辣条品质的有效控制,需要对辣条中的理化成分如酸价、过氧化值;微生物指标如大肠菌群、菌落总数、致病菌等;食品添加剂如防腐剂、香精含量等指标进行准确检测。而实现以上指标的准备检测,辣条样品粉碎混匀至关重要。 德国Retsch(莱驰)是全球最大的实验室固体样品前处理即研磨粉碎筛分设备的生产厂家,在商检、质检、高校、农业、生物、制药、化工、研究所等领域有广泛的基础。德国莱驰的刀式研磨仪GM系列可为辣条样品的粉碎混匀提供完美的解决方案。德国莱驰GM系列刀式研磨仪由锋利刀片产生的切割力,为含水含油样品带来快速、可靠的均质化粉碎效果,任意取样均具有非常好的代表性。GM200单次最多可处理700mL样品,GM300单次可处理4500mL的样品。 GM200的最大进样尺寸40mm,GM300最大进样尺寸130mm。对于辣条样品来说,如果原始样品的尺寸过大,可以先用剪刀对样品剪成仪器要求的进样尺寸。然后使用液氮对辣条进行脆化处理同时可对热敏性成分进行保护,最后使用GM系列刀式研磨仪10s内即可实现非常好的粉碎处理效果。如图为辣条样品整个研磨过程,其中使用的是GM200刀式研磨仪,8000r/min、10s即可实现对辣条样品的粉碎混匀。图1 - 辣条1号样品在常温状态下使用刀式研磨仪GM200研磨 图2 - 辣条2号样品预冷冻后用刀式研磨仪GM200研磨 莱驰GM系列刀式研磨仪已在食品药品监督管理局、进出口检验检疫局、高校研究院所以及相关企事业中有广泛的使用,得到了相关客户实验室的一致好评。对于辣条产品品质控制,实现辣条样品快速粉碎混匀,德国莱驰的GM系列刀式研磨仪提供了完美的解决方案。
  • 吴杭民:好一句“曝光毒豇豆于国于民都无益”
    对于武汉市农业局曝光“问题豇豆”的做法,三亚市农业部门表示了“特别的不理解”,他们称,发现相关的问题但按照通常做法只限于内部通告,这已经是业内的“潜规则”,武汉曝光这些问题豇豆在他们看来“于国于民都无益”(2月28日中央人民广播电台)。 武汉市农业局曝光“毒豇豆”,破坏了“内部通告”的业内“潜规则”?我拼命揉着眼睛,可看到的依旧是那些雷人的话语。更荒唐的是,三亚市农业局综合执法支队副支队长周庆冲也认为,按照常规,兄弟单位应该打个招呼,他们可以派技术人员下基层调查,严控源头,但兄弟单位这次的做法“太不够朋友”,不仅没有给三亚市留面子,也没有给农业部门留面子。 离奇愤怒!那些监督检测部门难道不应该为“民以食为天”筑起牢不可摧的“篱笆”吗?难道不该为民众吃得放心、吃得安全而克己奉公、兢兢业业吗?难道曝光、禁售问题食品、蔬菜,就是“太不够朋友”、“不给面子”?谁是你们的朋友,你们给谁面子? 突然,我似乎明白了武汉市农业局人士前些天坦言的“决定禁售‘冒了点风险’”的“弦外之音”。原来这风险来自同行的“潜规则”。可是,在我们看来,于国于民,于百姓利益,武汉市农业局的毅然决然之举,实在是应该大加褒扬大加弘扬的正义之举。民以食为天,食品安全屡屡失范,各类“毒××”愈演愈烈,除了我们经常抨击的各管一段、“九龙治水”等先天不足外,“够朋友”、留面子式的隐瞒包庇甚至大事化小、小事化无,是不是我们曾经不为所知的重要因素呢?果真如此,那么,我们真的要感谢武汉市农业局的“大义灭亲”了:是他们,让我们震惊地获知了监管领域漠视民众根本利益的“潜规则”。 原来,很多问题食品不是不能管,不是不能被发现、被围剿,而是监督机构“够朋友”的“潜规则”,使得“毒××”能安安稳稳地潜伏在我们的身边毒害百姓,即使流毒四方,有些主管人员还冠冕堂皇地狡辩,还理直气壮地叫嚣“于国于民都无益”! 还有多少监管检测“潜规则”我们被蒙在鼓里?我们期待,对于那些“够朋友”的职能部门的相关人员,有关部门就不该留面子、给面子,谁把民众利益当儿戏,谁就该被毫不留情地被问责、被严惩,被清除出监管队伍!
  • 显微镜技术新跨越:无标记、两次曝光、改造荧光成像
    2021年伊始,显微镜技术也迎来新的跨越。光物理学家开发出一种新方法,利用现有显微镜技术,无需添加染色剂或荧光染料,就能更详细地观察活细胞内部。这是一种荧光寿命显微镜技术,能够使用频率梳而不是机械部件来观察动态生物现象。其中一项研究的领导者、日本东京大学光子科学与技术研究所副教授Takuro Ideguchi表示,“我认为无标签技术将是一个重要的研究方向。特别是以无标签的方式对细胞内外病毒和外来体等小颗粒进行测量的技术将是未来成像设备的一个趋势。”更大范围 更小相位变化由于单个细胞几乎是半透明的,因此显微镜照相机必须能探测到穿过部分细胞的光线的极其细微的差异。这些差异被称为光的相位。相机图像传感器则受到它们能检测到的光相位差的限制,即动态范围。“为了使用同一图像传感器看到更详细的信息,我们必须扩大动态范围,这样就可以探测到更小的光相位变化。”Ideguchi说,“更大的动态范围允许我们测量小型和大型的相位图像。例如,如果测量一个细胞,细胞的主干会产生大的相位变化,而细胞内的小颗粒/分子会产生小的相位变化。为了使两者可视化,我们必须扩大测量的动态范围。”该研究小组开发了一种技术,通过两次曝光分别测量光相位的大小变化,然后将它们无缝连接起来,制造出详细的最终图像。他们将这种方法命名为自适应动态范围偏移定量相位成像(ADRIFT-QPI)。相关论文近日发表于《光:科学与应用》。一直以来,定量相位成像是观察单个细胞的有力工具,它允许研究人员进行详细的测量,比如根据光波的位移跟踪细胞的生长速度。然而,由于图像传感器的饱和容量较低,该方法无法跟踪细胞内及周围的纳米颗粒。而新方法克服了定量相位成像的动态范围限制。在ADRIFT-QPI中,相机需要两次曝光,并产生一个最终图像,其灵敏度是传统定量相显微镜的7倍。两次曝光 告别光毒第一次曝光是用常规的定量相位成像产生的——平的光脉冲指向样品,并在它通过样品后测量光的相移。计算机图像分析程序基于第一次曝光的图像,快速设计一个反射样品图像。然后,研究人员用一个叫做波前整形装置的独立组件,用更高强度的光产生一种“光雕塑”,以获得更强的照明,并向样品发出脉冲,进行第二次曝光。如果第一次曝光产生的图像是样品的完美代表,第二次曝光的雕刻光波将以不同的相位进入并穿过样品,最终只能看到一个黑暗的图像。“有趣的是,我们在某种程度上抹去了样本的图像。实际上,我们几乎什么都不想看到。我们去掉了大的结构,这样就能看到小的细节。”Ideguchi解释道,由于第一次测量中存在较大的相位对象,受动态范围的限制,无法对较小的相位对象进行可视化,研究人员称之为“洗掉”。他们需要第二次测量观察动态范围移位的小相位物体的细节。此外,该方法不需要特殊的激光、显微镜或图像传感器,研究人员可以使用活细胞,而且不需要任何染色或荧光,出现光毒性的可能性很小。光毒性是指用光杀死细胞,这也是其他成像技术如荧光成像面临的一个问题。另一篇论文的通讯作者、日本德岛大学Post-LED光子学研究所教授Takeshi Yasui指出,在传统的激光扫描共焦显微镜中,强激发光聚焦在一个焦点上,并对焦点进行二维机械扫描,使光毒性的影响较强。 Yasui等人的荧光成像新方法中,激发光被聚焦为一个二维焦点,因此每个焦点的光强度变得非常弱。“光毒性高度依赖于入射光的强度,我们的方法也可以显著降低。”改造荧光成像荧光显微镜广泛用于生物化学和生命科学,因为它允许科学家直接观察细胞及其内部和周围的某些化合物。荧光分子能吸收特定波长范围内的光,然后在较长的波长范围内重新发射。然而,传统荧光显微技术的主要局限性是其结果难以定量评价,而且荧光强度受实验条件和荧光物质浓度的显著影响。现在,一项新研究将彻底改变荧光显微镜领域。当荧光物质被一束短脉冲光照射时,产生的荧光不会立即消失,而是随着时间的推移“衰减”。但荧光衰减非常快,普通相机无法捕捉到它。虽然可以使用单点光电探测器,但必须在整个样本区域进行扫描,才能从每个测量点重建出完整的二维图像。这个过程涉及到机械部件的运动,这极大限制了图像捕捉的速度。在最近发表于《科学进展》的一项研究中,科学家开发了一种不需要机械扫描就能获得荧光寿命图像的新方法。领导这项研究的日本德岛大学Post-LED光子学研究所教授Takeshi Yasui说,“我们能在2D空间上同时映射44400个‘光秒表’来测量荧光寿命——所有这些都在一次拍摄中,不需要扫描。”“到目前为止,光频率梳被广泛地用作测量光频率的标尺,但我们一直在考虑其他的用途。”Yasui讲到,“我们意识到,如果将光学频率梳视为具有超离散多光谱结构的光,通过维数转换将被测物理量叠加在光谱上,可以从双梳光谱获得的模式分辨光谱中共同获得被测物理量。”研究人员使用光学频率梳作为样品的激发光。一个光学频率梳本质上是一个光信号,它们之间的间隔是恒定的。研究人员将一对激发频率梳信号分解为具有不同强度调制频率的单个光拍信号(双梳光拍),每个光拍携带单个调制频率,辐照到目标样品上。而且,每束光束都在一个不同的空间位置击中样本,在样本二维表面的每个点和双梳光拍的每个调制频率之间形成一一对应的关系。研究人员用数学方法将测量信号转换为频域信号,根据调制频率处的激发信号与测量信号之间存在的相位延迟,计算出每个像素处的荧光寿命。Yasui表示,这将有助于动态观察活细胞,还可以用于多个样本的同时成像和抗原检测——这种方法已经被用于新冠肺炎的诊断。该技术还有助于开发出新的顽固性疾病疗法,提高预期寿命。同样,Ideguchi也提到,ADRIFT-QPI能够在整个活细胞的背景下看到微小颗粒,而不需要任何标签或染色。“该技术可以检测到来自纳米级粒子的细小信号,比如病毒或在细胞内外移动的粒子,这样就可以同时观察它们的行为和细胞的状态。”相关论文信息:https://doi.org/10.1038/s41377-020-00435-zhttps://doi.org/10.1126/sciadv.abd2102
  • 苏泊尔问题锅曝光半年无实际行动 或失中国市场
    公司董秘接受采访言语闪烁,以沉默方式草草结束  苏泊尔“问题锅”处理不当或失中国市场  2月17日,小家电巨头苏泊尔因产品陷入“质量门”宣布停牌。此前的16日,央视《焦点访谈》曝出苏泊尔不锈钢炊具锰含量超出国标近4倍,可引起帕金森病等危险疾病。消息一出,引起业内轩然大波。  《证券日报》记者第一时间致电苏泊尔董秘叶继德,他接受采访时言语闪烁,只说:“公司网站已发布第一时间针对这个事件的回应。”除此以外,他再不愿意多说,以沉默方式草草结束采访。  然而,苏泊尔事件已非一日之事,沉默已经解决不了问题。业内知名人士认为:“其产品距离第一次被曝光出现问题已有半年之久,但苏泊尔至今未采取实质性行动,令人惊讶。长此以往,中国消费者可能要放弃苏泊尔,而苏泊尔一旦失去中国市场就等于失去了一切。”  事件曝光销售大幅下滑  16日,央视曝光苏泊尔81个规格炊具被认定不合格产品。哈尔滨市工商部门经检测,认定知名品牌苏泊尔的四大种类包括:汤锅、蒸锅、奶锅、水壶。钢锅锰含量高出国标近4倍,可致帕金森症。  次日早上,苏泊尔在官网发布了《致苏泊尔的消费者》声明表示:经历次国家权威机构检测,其产品各项重金属析出量均符合国家标准中卫生理化指标的要求,产品卫生安全。  在接受《证券日报》记者采访中,叶继德坚称苏泊尔产品没有问题:“我们的正式产品均在指标之内,此前公司公告已经强调多次。”  中国质量检查协会相关负责人指出:“产品质量不合格危害可分多个层面,直接牵扯到使用者生命安全的,比一般产品危害都大,更为严重。产品质量存在安全隐患,对人生命安全造成威胁,是产品危机中最高等级。”  据记者上周末在北京地区各大家电类商场了解,几乎每家商场都有部分消费者要求退货,同时这些卖场也已经将苏泊尔相关不锈钢厨具产品下架。  “事件对苏泊尔影响很大,去年以来他们在国内的销售业绩就在下滑。而受事件持续影响,业绩下滑趋势可能还会继续。  事件发生以来,销售至少损失20%-30%的利润。”熟悉苏泊尔知情人士透露。  家电行业观察家刘步尘认为:“中国厨具主流品牌苏泊尔连续曝出质量不合格,无疑对整个行业起了负面作用,对其他品牌也会受到影响。”  漏洞百出  苏泊尔为何不能自圆其说  《焦点访谈》的宗旨向来以事实说话。苏泊尔“质量门”事件被曝出之后,业内质疑声不断。纵观事件前后,空穴来风,未必无因。记者就事件发生,苏泊尔前后几次应对中的说法提出三点质疑。  质疑一:认识问题存分歧?  苏泊尔质量不合格主要由哈尔滨工商局道外分局首先发现。然而,媒体曝出之后,苏泊尔拒不承认。中国特钢协会不锈钢分会一位专家此前指出:“苏泊尔用的材料不符合于国家国标板材不锈钢标准,是不合格的。”  苏泊尔认为,其与哈尔滨工商部门的检测报告存有异议,主要是因为企业和工商部门对标准的理解有所不同,认识上存在分歧。并提出了复检,目前正等待国家权威部门的解释。但哈尔滨工商局的相关人士表示:“苏泊尔直到现在也未交出完整复检材料,工商机构的复检工作一直没有实质性进展。”  业内专家认为:“无论是‘不锈钢食具容器卫生’标准和‘不锈钢器皿’标准,苏泊尔的5种不锈钢产品使用不锈钢材料成分均不符合要求。无论什么样的标准,前后两个都要符合,至少拿出符合标准的报告,这些是硬性规定,苏泊尔对问题纠结点归结于‘认识问题存在分歧’,实在站不住脚。”  质疑二:意大利标准之说 钻标准的空子?  苏泊尔声明中指出,关于锰析出量,卫生部在《食品安全国家标准不锈钢制品》知识问答中明确指出:“国外关于不锈钢食具容器有关锰的限定规定,除个别国家外,其他国际组织和相关国家未对锰的析出量做出规定”。目前发达国家仅意大利有锰析出量的限定标准。  苏泊尔表示,参照意大利标准对采用相同材质的产品进行了检测,产品符合意大利标准中关于锰析出量的要求。  业内专家指出:“对于锰超标的认定和给人们带来的伤害标准,食品安全方面的标准并不完善。我国目前尚未制定关于锰析出量的相关标准,而苏泊尔事件并未得到认定,正是钻了这里的一个空子。”  “意大利是发达国家,苏泊尔符合其标准没有问题。但在中国市场销售产品,必须首先符合中国的标准。符合意大利标准未必就符合中国标准。拿出意大利合格证据,为何拿不出在国内的证据,苏泊尔并没有站出来正视这个问题。”中欧国际商学院一位博士告诉记者:“国家卫生管理部门针对各种金属对于人身体损害的标准早在20年前就有,但是存在各方争议。相关部门对于标准的判定需及时更新并给予明确解析。”  质疑三:为何始终拿不出具体数据?  2009年1月,哈尔滨市工商部门查出苏泊尔样品37个全部材质不合格产品。苏泊尔以沉默对待。去年8月,工商部门再次抽检苏泊尔82个样品,其中56个规格的产品再次被认定为“不合格”。这一次苏泊尔公司开始公开的回应“产品合格”,并表示接受复查,然而却不了了之。  直到此次,当苏泊尔被质疑,查出多款产品存在锰含量超标、镍含量不达标等问题。苏泊尔立即在官网做出澄清,表示“经权威部门鉴定也是符合国家标准的”。  然而,面对媒体大量的数据举证,即使在苏泊尔的声明中,苏泊尔也始终未拿出权威数据和其他有利证据,使得传闻愈演愈烈。刘步尘指出:“苏泊尔及公关的对外回应漏洞百出,回答模糊,回避关键问题。”  市场人士认为:“苏泊尔觉得冤枉,可以拿出数据报告等证据,以理服人。苏泊尔在反驳过程中,始终未拿出权威检测报告证明自己的清白。”  苏泊尔可能失去中国市场  近年来,小家电行业竞争激烈,苏泊尔业绩并不理想,“质量门”事件发生也让业内人猜测:这是不是苏泊尔不得已采取大幅降低成本提升业绩之法。然而随着苏泊尔质量隐患问题频繁被曝出,造成连续性影响,苏泊尔的业绩和股价不升反降,企业发展似乎已陷入恶性循环之中。  苏泊尔2011年半年报显示,其国内产品收入23亿,国外收入11亿。显然,国内市场对苏泊尔而言至关重要。家电行业分析师指出:“目前国内小家电更多在低端市场竞争,真正高端靠进口,主要在本土竞争。”  刘步尘告诉记者:“中国小家电市场在2005年和2006年迅速成长起来。由于利润高许多企业迅速进入小家电,行业快速膨胀之后盈利下降,整体成长缓慢,小家电企业日子明显没有前几年好过。这几年,小家电上市公司报表盈利状况并不算好。小家电行业竞争激烈,不排除有个别企业为取得相对较好利润,采取不正当做法。”  业内知名人士认为:“苏泊尔被报道之后带来的损失绝对比采用更好材料生产产品的损失更大,业绩下滑在预计之中。我们看到的是一个拒不改正的苏泊尔。SEB收购苏泊尔之后,苏泊尔反而发展减慢,出现危机事件增多,这是一个非常奇怪问题。苏泊尔品牌形象受到伤害,投资者未来不看好,资本市场表现下降,对于苏泊尔而言是全方位的损失。继续下去,可能中国消费者要放弃苏泊尔,而苏泊尔失去中国市场就等于失去了一切。”
  • 央视曝光:一只鸡吃十八种抗生素
    肯德基、麦当劳“速生鸡”再曝使用违禁药物,央视报道称,一些养殖户为了使得鸡不得病长得快,在饲料里添加多种抗生素和激素类药品。  昨天,山东省畜牧局向《每日经济新闻(微博)》记者表示,目前该局已派出四个调查组前往当地调查,而上海药监局也将对百胜集团进行检测。  对此,昨日(12月18日)肯德基作出回应称,国内个别肉鸡企业的把关可能有所缺失,公司已要求供应商积极配合当地政府的检验检疫。对于央视曝光的企业六和集团,肯德基称今年8月已停止采购其鸡肉原料。另一家快餐连锁——麦当劳,则在一则官方的简短声明中称“请大家放心食用”。  速生鸡使用违禁药品  《每日经济新闻》此前对秦皇岛、山东昌邑等地肯德基的原料鸡——45天“速成白羽鸡”调查发现,从雏鸡进入鸡场,到肉鸡出栏屠宰,多个环节暴露出安全隐患。例如:抗生素滥用、动物检验检疫程序“走过场”等。本报记者调查发现,在河北、山东等地,甚至花钱就能买到动物检疫合格证明。  一时间,“速生鸡”检验检疫“走过场”带来的安全隐患,抗生素滥用引起的药物残留问题,成为舆论关注的焦点。昨天,央视的调查也显示,“白羽鸡在40天能长5斤”背后有着不可告人的秘密。  央视对山东青岛、潍坊、临沂、枣庄等地的“速生鸡”养殖场调查发现,为避免鸡生病或死亡,白羽鸡从第1天入栏到第40天出栏,至少要吃18种抗生素药物,“鸡把抗生素当饭吃,停药期成摆设”。而养殖户把鸡交给屠宰场之后,屠宰企业的检测人员只是编造检验纪录。  央视调查还发现,一些养殖场还偷偷给鸡喂食禁用药物,这些药物包括人用的利巴韦林、盐酸金刚烷胺。根据我国《兽药管理条例》的规定,禁止将人用药品用于动物。另外,一些养殖户为了使得肉鸡能够快速生长,地塞米松等激素类药品也成为催生肉鸡生长的秘密“武器”,这些激素类物质能刺激鸡多采食,报道称在喂激素后,鸡在3天~5天就增重1斤。  据了解,地塞米松是肾上腺皮质激素类药,长期大量使用可引起动物体重增加、引发肥胖等症状。我国《兽药管理条例》明确规定,禁止在饲料和动物饮用水中添加激素类药品,而当地给鸡偷喂激素的养鸡场并非少数。  央视曝光的两家公司分别为山东的六和公司和盈泰公司,这两家公司是肯德基、麦当劳的原料供应商之一。  肯德基发声明“撇清”关系  昨天,六和公司有关负责人在接受《每日经济新闻》采访时说,公司已经知道此事,目前高层领导重视,相关的调查结果会及时对外公布。另一家公司盈泰公司的网站无法登录,记者也未能从114查号台查到登记号码。  肯德基在声明中指出,“根据汰弱留强原则,今年8月起肯德基已停止从六和集团采购鸡肉原料。”  肯德基声明称,供应商每批肉鸡在宰杀前,宰杀后都需要取得《动物检疫合格证明》,基德基要求所有供应商对专供肯德基的鸡肉产品进行药残检测,肯德基物流中心收货时必须收验《动物检验合格证明》和药残检验报告。  不过,据报道,送鸡肉的货车在抵达中国百胜餐饮集团上海物流中心之后,有关人员只是根据屠宰场提供的证明,并没有进行再次检验而是直接卸货并输送到了快餐企业。此前,屠宰场的检验证明也是编造。  上海药监局抽检百胜产品  昨天,上海市食品药品监督管理局官方微博称,上海食药监在知悉央视曝光“速生鸡”流入百胜餐饮集团上海物流中心的信息后,已第一时间组织监督员对其产品进行抽检,全面检查其来源和自检情况,相关检测正在进行。上海药监局表示,如发现食品安全问题,将依法严处。据上海市药监所报告,该市今年抽检450件禽肉样品,19件抗生素超标,均已查处,未检出激素。  肯德基称,“肯德基高度重视媒体报道内容,一定积极配合相关政府部门的检查,如有发现供应商的任何违规行为,一定严肃处理。”  麦当劳则在官方微博发表了一则简单声明,称“麦当劳一向视食品安全为重中之重,只从经过严格选拔的供应商处采购食品原材料,并通过严格供应商管理系统和标准确保始终为顾客提供安全高品质的食品。麦当劳所使用的每批次鸡肉原料都经第三方独立实验室检测且确认合格,我们的鸡肉产品遵守严格的食品品质标准且符合政府相关标准。请大家放心食用。”  昨天,山东省畜牧局有关负责人在接受《每日经济新闻》采访时说,他们已经知道此事,并于第一时间派出四个督导组下去调查。该负责人称,“我们核实情况后会尽快公布结果,在各地蓄牧局网站公布调查情况。”  就在之前,该局网站首页还刊登文章《“速成鸡”是误传 育种、营养是关键》称,12月10日下午,省畜牧兽医局召开座谈会,多位专家对抗生素、激素等问题一一作出回应。山东省农科院家禽研究所研究员魏祥法的说法是,只要是规范化、经农业部门认可的养鸡场,都是规范用药,许多养殖场已经开始用中成药,不再用抗生素。  对于激素问题,山东省畜牧协会生猪产销分会秘书长曲万文则称,激素鸡、激素猪只是一个传说,激素价格高昂,一支激素几千块钱,谁用得起啊,以讹传讹造成鸡、猪被“妖魔化”。  当《每日经济新闻》记者提到如何看央视所报道的抗生素泛滥以及使用激素等问题,上述畜牧局负责人称,“如果规范养殖,养殖场不会出现违禁类的药品,对于央视所反应的情况需要进一步核实。”  据《每日经济新闻》记者此前调查,不少速生鸡供应商主要采用与农户签约的模式,尽管有各种监管手段,但在实际过程中,由于产业链过长,监管部门检疫走过场等原因,鸡肉的风险并没有很好地控制。
  • 央视315晚会曝光一次性筷子毒物满身
    央视315晚会今晚曝光一次性筷子的过程,经过多道化工原料的加工处理,一次性筷子上产生多种化学残留。国家对具体残留量有着严格的限制,但这一标准早已经被厂家抛在脑后。  筷子是中国人最常使用的餐具之一,自从出现了一次性筷子之后很多人觉得用起来非常方便,也非常卫生,所以在现在的餐饮服务当中一次性筷子使用相当普遍,在很多人眼中用起来方便又卫生的一次性筷子却并不卫生。  据央视曝光,一次性筷子在生产过程中经历了熏硫黄、石蜡、双氧水等多种化学药品的加工,生产过程触目惊心。  据报道,在筷子加工过程中,工人还要用脚把筷子“翻一下”,而脚与筷子紧密接触,在筷子厂随处可见,在有的厂筷子上看到脚印就不足为奇了。而一些已经发霉变质的筷子也没有扔掉,还要加工处理。工业双氧水具有很强的腐蚀性和漂白作用,经过双氧水煮过的黑筷子就会变白,为了使速度更快甚至有人使用其他的材料,工业无水焦磷酸钠。报道称,用工业双氧水把便黑的筷子煮白了再卖已经成为行业内公开的秘密。  据央视报道,经过加工处理一次性开子会产生多种化学残留,记者在怀化和宜丰的一次性筷子生产厂没有看到消毒环节。筷子就这样从厂家到批发商手里,有的被批发到加工厂做成一次性餐具。  报道最后评论称,一次性筷子非但没有成为我们健康的守护神,反而成为了健康的杀手。一连串工业用品的使用,现在让这双一次性筷子上沾边了有害身体的各种各样的物质,在看完了刚才记者调查之后,我们每个人都应该反思或者更新一下我们的消费习惯。让我们拥有更健康的消费主张。
  • 因设备老化等问题,掩模版缺货愈演愈烈
    成熟节点对芯片的需求激增,加上这些几何形状的光掩模(也称光罩)制造设备老化,正在引起整个供应链的重大担忧。这些问题直到最近才开始浮出水面,但对于对芯片生产至关重要的光掩模来说,它们尤其令人担忧。28nm及以上光掩模的制造能力尤其紧张,推高了价格并延长了交货时间。目前尚不清楚这种情况会持续多久。光掩模制造商正在扩大产能以满足需求,但这并不是那么简单。成熟节点的掩模制造涉及较旧的设备,其中大部分已过时。Toppan表示,为了取代过时的光掩模工具,该行业可能需要在未来十年内投资 10 亿至 20 亿美元购买新设备。一些设备供应商正在为成熟节点构建新的掩膜工具,但价格更高。掩模用作芯片设计的主模板(templates)。在流程中,IC 供应商设计了一个芯片,然后将其转换为文件格式。然后,在光掩模设备中,基于该格式制造掩模。然后将掩模运送到晶圆厂并放置在光刻机中。光刻机通过掩模投射光,掩模将图像图案化在芯片上。有两种类型的光掩模制造商——captive 和merchant。英特尔、三星、台积电等芯片制造商都是captive掩模制造商,生产 16/14nm 及以下的前沿掩模。有些captive(如台积电在成熟节点制造掩膜。具有captive掩模制造业务的设备制造商生产光掩模以满足内部要求。为外部客户制造光掩模的商业掩模制造商在某些情况下在成熟节点和先进节点生产掩模。对光掩模的需求反映了半导体行业的状况。一段时间以来,业界对芯片的需求空前高涨。这反过来又推动了对所有掩膜类型的需求,尤其是成熟节点的需求。“在 28nm 及以上,并且将继续下去,”商业掩模供应商 Toppan 的营销、规划和运营支持副总裁 Bud Caverly 说。“不是每个应用都负担得起也不需要采用 3nm 技术。将其与当今当前的需求情况相叠加,我们的晶圆厂和光掩模业务在许多地点和节点都已售罄。我们已经看到了短缺。我们需要更多的晶圆厂,而这些晶圆厂将需要更多的光掩模。”为了满足需求,几家专属掩膜制造商正在扩大其制造能力。但是,虽然captive有能力投资新产能和先进设备,但merchant掩膜制造商正面临资本和工具投资挑战。“增加的专业设备需求正在推动对更大节点光掩模的需求。这些成熟的掩模节点约占光掩模总需求的 88%(预计 2022 年将超过 450,000 个单位)。这一单位数量正在推动全球掩膜业务的高产能,特别是在商业掩膜行业,”Bruker销售和营销经理 Michael Archuletta 说。“许多商业掩膜供应商都在使用一系列老化的制造系统,在某些情况下,他们过时的工具变得无法修复。该设备需要更换。不幸的是,历史表明,成熟的技术节点掩膜销售价格很低,导致利润率很低。这意味着可用于新设备的资本投资资金有限。”图 1:光掩模。资料来源:维基百科图 2:光掩模(顶部)和使用该掩模创建的集成电路(底部)的示意图来源:维基百科市场动态SEMI 分析师 Inna Skortsova 表示,总体而言,光掩模行业从 2020 年的 44 亿美元增长到 2021 年的 50 亿美元。根据 SEMI 的材料市场数据订阅服务,到 2022 年,光掩模市场预计将达到 52 亿美元。Photronics 和 Toppan 是最大的商业掩膜制造商。其他商家包括 Compugraphics、Hoya 和 Taiwan Mask。对于成熟的和一些先进的节点,业界使用基于光学的光掩模。基于光学的光掩模尺寸为 6 x 6 英寸和 1/4 英寸厚,由玻璃基板上的不透明铬层组成。对于更复杂的光学掩模,使用硅化钼 (MoSi) 代替铬。玻璃基板上的材料称为吸收层。这些掩模类型用于光学光刻系统。在这些系统中,产生光,然后通过一组投影光学器件引导。然后光通过掩模投射到涂有光刻胶的硅片上,在芯片上形成微小的图案。光掩模在这里起着关键作用。“光掩模,也称为分划板或只是掩模,包含您想要在晶圆上打印的内容,”Fractilia 的首席技术官 Chris Mack 在视频演示中解释道。“它有我们想要阻挡光线的不透明区域,并且在我们想要光线通过的地方是透明的。不透明区域通常由铬或 MoSi 制成。”每个掩膜包含一个或多个裸片的图案,具体取决于芯片的尺寸。在许多情况下,一个芯片设计有几个复杂的特征。打印晶圆上的所有特征需要不止一个掩模。“我们有很多光刻步骤来构建晶体管、金属化和接触孔的所有图案。它们被用来组成这些复杂的集成电路,”Mack 说。“我们需要大量的光掩模——每个光刻层至少一个。180nm 节点器件需要大约 25 个掩模。32nm 节点器件需要大约 50 个掩模。而 16nm 节点器件需要大约 75 个掩模来制造集成电路。”如果一个芯片需要 75 个单独的掩膜,它们一起构成一个“掩膜组”。在蒙版集中,一些蒙版具有更高级的功能,称为关键层。集合中的其他蒙版由非关键层组成。有几种类型的光学掩模,例如二进制和相移掩模 (PSM)。在二元掩模中,铬在选定的位置被蚀刻,从而暴露出玻璃基板。铬材料未在其他地方蚀刻。在操作中,光照射到掩模上并穿过带有玻璃的区域,从而暴露出晶片。光不会穿过带有镀铬的区域。今天也使用 PSM。“PSM 有很多种,但它们通过使用相位来消除你不想要的光,从而产生更高对比度的图像,”Mack 说。使用各种掩模类型和其他技术,现在的 193nm 光刻扫描仪能够对低至 7nm 的芯片进行图案化。但是基于 193nm 的光学光刻在 5nm 变得过于复杂。因此,在 7nm 及以上,芯片制造商使用极紫外(EUV) 光刻技术。使用 13.5nm 波长,EUV 扫描仪可以解析 13nm 特征。EUV 需要不同的光掩模技术。与透射的光学掩模不同,EUV 掩模是反射的。EUV 掩模由基板上的薄硅和钼交替层组成。在多层堆叠上,掩模由钌覆盖层和钽吸收材料组成。今天的 EUV 掩模基于二进制格式。该行业正在开发适用于 3nm 及以上的 EUV PSM。成熟的掩模和工具的不足要制造 EUV 掩模,该行业需要多种新型先进设备。多年来,该行业已投入数十亿美元的资金来开发基于 EUV 的掩模设备以及扫描仪、光刻胶和其他技术。然而,多年来,成熟节点的旧掩模设备通常被忽视。这种情况在 2016 年左右开始发生变化,当时对模拟、射频和其他芯片类型的需求不断增长,导致 200 毫米和 300 毫米晶圆厂的成熟节点出现短缺。300mm 晶圆厂用于制造前沿节点(16nm/14nm 及以下)和后沿节点(130nm/110nm 至 28nm/22nm)的芯片。200 毫米晶圆厂采用成熟的工艺技术制造器件,从 6 微米到 110 纳米节点。(节点是指特定的过程及其设计规则。)尽管如此,成熟节点的芯片需求在 2017 年和 2018 年激增,导致成熟工艺代工产能严重短缺。这对掩膜制造商来说是一个令人担忧的迹象。事实上,在 2018 年的一次演讲中,Toppan技术执行副总裁Franklin Kalk警告说,掩膜行业对成熟节点的需求猛增毫无准备。当时,光掩模制造商主要将较旧的掩模工具用于成熟节点,其中一些已经过时。在其他情况下,一些设备供应商停止支持旧的掩模工具或倒闭。在这种情况下,掩模供应商支持该工具。备件很难找到。Kalk 表示,该行业需要新的掩模工具用于所有设备类别的成熟节点,包括蚀刻机、检测系统、掩模写入器和修复产品。那时,一些厂商开始为成熟节点开发新的掩模工具,但差距仍然存在。同时,成熟节点对芯片的需求持续飙升。从 2018 年到今天,全球成熟节点的代工产能一直很紧张。“在过去的几年里,无论是在传统 CMOS、双极 CMOS DMOS (BCD) 还是 RF-SOI 上,对在 200mm 和成熟 CMOS 技术节点≥28nm 上制造的各种芯片的需求激增。” Lam Research战略营销董事总经理 David Haynes 说。“这些设备包括微控制器、电源管理 IC、显示驱动器 IC 和射频。”如今,芯片需求全面强劲。例如,28nm 平面产品仍然是按节点计算的最大市场之一。联华电子在最近一个季度的 28nm 技术收入增长了 75%。“75% 的收入同比增长反映了与 5G、物联网和汽车相关的强劲芯片需求,”联电联席总裁 Jason Wang 表示。其他节点也有需求。“如果你看看每个节点在哪里建造晶圆厂,它不仅仅是 3nm。几乎每个节点都在以某种形式增加产能,”Toppan 的 Caverly 说。“28nm 是一个高需求节点。在 40nm 到 65nm,您会看到先进的射频、混合信号和某些逻辑的最佳点。您还看到了 110nm 至 130nm 范围内的活动,这是通用、混合信号和模拟类型的产品。”所有这些活动都刺激了对更多光掩模数量的需求。“如果你看一下半导体市场的预测增长,它就会推动光掩模市场对大笔投资的需求,”Caverly 说。“我们还有一个辅助设备问题。大量光掩模设备将需要某种形式的升级,或者由于工具或组件过时而需要更换。这将进一步加剧部分投资需求。”但即使掩膜设备供应商在所有产品类别中都推出了新工具,该行业仍面临其他挑战。例如,据 Toppan 称,仅 65nm 节点的新光掩模生产线预计将耗资 6500 万美元。这包括工具和维护的成本。“在65nm,仅折旧和维护成本就达到了每个掩模 3,500 美元。如果我加上材料、人工和其他成本,那么每个掩膜的总成本将超过 6,000 美元,”Caverly 说。“如果我在这个数字上加上正常的毛利率,这个结果实际上比今天的 65nm ASP 高得多。价格已经大幅下跌,以至于你再也负担不起这笔投资了。”此外,新的光掩模生产线需要掩模设备。“半导体的增长将需要购买新的光掩模工具,这必须显示出足够的回报来保证投资,”Caverly 说。掩膜工艺流程那么成熟节点的掩膜工具差距在哪里?要了解这个,我们必须查看掩模制造过程。先进和成熟的掩膜都遵循相同的基本制造流程。该过程始于掩模空白供应商,该供应商创建掩模空白。根据 Hoya 的说法,光学掩模空白由 6 x 6 英寸的玻璃基板组成,该基板涂有金属膜和光敏剂。然后将完成的坯料运送到制造掩模的光掩模制造商。在这里,对坯料进行图案化、蚀刻、修复和检查,形成掩模。最后,将薄膜安装在面罩上。在图案化步骤中,光敏光刻胶材料被涂敷在坯料的表面上。然后,基于所需的芯片设计,使用掩模写入器工具对空白进行图案化。对于光学掩模,光掩模制造商使用两种类型的掩模写入器,电子束和激光工具。电子束掩模写入器对关键层进行图案化,而激光工具用于成熟层。今天,掩模制造商在成熟节点上使用新旧电子束和激光掩模刻录机。许多旧工具面临淘汰。凸版表示,在接下来的十年中,光掩模行业可能需要投资约 6.67 亿美元,以用更新的系统替换这些旧工具。好消息是,一些供应商已经为成熟节点推出了新的电子束和激光工具。例如,NuFlare 最近推出了 EBM-8000P/M,这是一款用于 40nm 至 25nm 节点的新型电子束掩模写入器。EBM-8000P/M 是一个 50kV 系统,电流密度为 400A/cm2。电子束掩膜刻录机也用于对先进的基于光学的掩膜进行图案化。对于光学掩模应用,供应商使用基于可变形状光束 (VSB) 架构的单光束电子束掩模写入器。在操作中,将空白插入电子束工具中。D2S首席执行官 Aki Fujimura 说:“VSB 掩模写入器然后使用孔径投射成形的电子束以暴露掩模表面上的抗蚀剂。” “第一个孔是正方形,其次是第二个孔,它要么是 90 度角,要么是 45 度边。”每个掩膜都是不同的。图案化一个简单的面具需要很短的时间。复杂的掩码需要更长的时间。业界使用术语“写入时间”,表示电子束写入掩模层的速度。“在 VSB 机器中,机器的写入时间取决于曝光掩模所需的拍摄次数,”Fujimura 说。同时,应用材料公司和 Mycronic 销售基于激光的掩模刻录机。Mycronic 的新型激光掩模写入器专为 90nm 节点及以上节点而设计。“激光刻录机同时使用不到 100 束光束来曝光掩模表面的抗蚀剂,”Fujimura 说。“通常,具有 130nm 基本规则或更大的掩模是用激光写入的候选者。更成熟的几代 VSB 写入器更精确,因为电子束更精确。但激光刻录机比 VSB 刻录机更经济。”同时,在图案化步骤之后,使用蚀刻工具在掩模上蚀刻图案,产生光掩模。这个过程已经很成熟了,但是在流程中可能会出现问题,导致掩模上的缺陷。有两种类型的掩模缺陷——硬的和软的。硬缺陷是图案缺陷。软缺陷是落在掩模上的颗粒。这两种缺陷类型都可能是灾难性的。在光刻过程中,当光线穿过带有缺陷的掩模时,扫描仪可以在晶圆上打印出重复的缺陷。这可能会对芯片产量产生负面影响。因此,在各个步骤中,使用基于光学的掩模检测工具检查光掩模的缺陷。这些工具是可用的,但有一个差距。口罩制造商希望这里有低成本的工具。“大多数掩模供应商报告成熟节点掩模的制造良率在 90% 到 95% 之间,”Bruker的 Archuletta 说。“在成熟的技术节点,模式数据往往不太复杂。吸收体材料和蚀刻工艺很容易理解并且不太复杂。与图案错误相关的硬缺陷很少。所有掩模节点的主要挑战是污染和颗粒缺陷。”同时,一旦缺陷被定位,掩模制造商可以修复其中的许多缺陷。有些缺陷是无法修复的,因此掩模被丢弃。为了修复掩模缺陷,光掩模供应商使用掩模修复工具。从 40nm 到 3nm 节点及以后,光掩模制造商使用两种先进的修复工具,电子束和纳米加工。蔡司销售电子束修复工具。在这个工具中,掩码被插入到系统中。在工具内部,电子束击中掩模上的缺陷。光束与修复缺陷的前体分子相互作用。Bruker销售纳米加工系统,该系统使用基于 AFM 的金刚石尖端来修复掩模缺陷。对于 45nm 及以上的成熟节点,光掩模制造商使用较旧的掩模修复工具,即聚焦离子束 (FIB) 和激光。FIB 工具生成光束以修复缺陷。同时,Bruker销售基于激光的掩膜修复系统。“对于 45nm 的技术节点,首选的不透明修复技术是激光烧蚀。激光修复系统速度很快,可用于硬缺陷图案修复和软缺陷颗粒去除,”Archuletta 说。“一些掩膜工厂仍在使用较旧的 FIB 工具。但大多数 FIB 工具已经过时,如果不小心使用,它们会以损坏掩模基板和吸收材料而闻名。”最后,一旦制造出具有生产价值的光学掩模,就会将聚合物基薄膜安装在掩模上。薄膜覆盖掩膜并防止颗粒落在其上。结论为了满足成熟工艺节点的需求,行业必须克服与掩模和设备过时相关的障碍。虽然掩模制造设备行业正在开发新的掩模蚀刻机、计量工具和其他设备,但这些系统的成本高于它们所替代的工具。这也需要大量投资。可以肯定的是,成熟节点的芯片需求旺盛,没有减弱的迹象。掩膜和掩膜制造设备也是如此,这是该行业关键但有时被忽视的部分。
  • 央视曝光“毒胶囊” 竞争激烈致药企生产铬超标胶囊
    央视4月15日曝光曝光13个药用空心胶囊产品。国家食品药品监管局15日发出紧急通知,要求对央视报道的13个铬超标产品暂停销售和使用。业内人士称,生产问题胶囊或因竞争激烈所致。  据京华时报报道,本次牵扯入铬超标胶囊风波的不乏蜀中制药、修正药业等知名药企,阿莫西林、诺氟沙星等药剂在百姓生活中使用亦非常普遍。  “说到底是高竞争下的成本压力。”昨天,生物谷创始人张发宝说,本次卷入问题胶囊风波的13批次胶囊均为普药品种,在研发创新不足的背景下,市场竞争非常激烈。而在现行的基本药物定价机制下,普药出厂价的招标定价本身就非常低廉。加之企业在销售药品的过程中,流通环节权力过大(销售加价),导致企业药品出厂价甚至比招标定价更低。如此,在高竞争、低定价、重流通的大医药环境下,企业如果不在成本控制上下工夫,很可能就是微利或者亏损。“这是行业普遍的问题,而不仅仅是修正、蜀中等个别企业的问题。”张发宝指出。  蜀中制药素被称为“普药大王”,其生产的阿莫西林胶囊在抗菌消炎药市场上有不可撼动的市场地位,难道也受困于成本压力?“普药市场的市场竞争之激烈是你想象不到的,除非有着足够高的市场份额,能够影响市场规则,否则一样不赚钱。”张发宝指出。  谈及解决之道,包括张发宝在内的多位业内人士均认为,要解决药用明胶行业的现状,唯有从基药招标体制、企业营销体制上做出根本性的改变,才能从根源上解决医药生产企业以质量换成本的问题。
  • “自来水真相”曝光后的思考
    这些年来,中国食品安全领域的丑闻频频曝光,而所有人对食品安全的失望或许只停留在猪肉、牛奶、蔬菜、食用油等一些基本的食品层面上,却唯独对水信任有加。在食品安全隐患不断的当下,为远离瘦肉精,我们可以不吃猪肉;为避开“破皮鞋”,我们可以不吃果冻和老酸奶 为避开地沟油,我们可以减少外出就餐或少吃油 然而,我们可以不吃猪肉,可以不吃果冻和老酸奶,也可以少吃油甚至不吃油,但却不能不喝水。水是我们谁都无法避开的东西,水是万物之源,然而就是这最重要的饮用水,最近也赶上食品安全的潮流,成为大家谈及色变的东西。  据媒体报道称,全国有共计4000余家自来水厂,为4亿多县级以上城市居民,每天供应6000万吨自来水。然而,根据2009年的住建部水质中心的普查,却有1000家以上城市自来水厂出厂水水质不合格。有专家估计,实际合格率也就是50%左右。  如果不是媒体这篇关于自来水调查的报告曝光,大家对于自来水的认识或许还停留在各地方政府宣称的“合格、达标”上,出于对政府的信任,大家在对食品安全担忧之余,并未对自来水的安全表示怀疑。然而,事实却是,这所谓的“合格、达标”等同于食品安全事故高发时,国家质检总局关于“中国食品安全抽查的合格率一直在90%以上”的说法。暴露在我们眼前的真相是,我国自来水的水质合格率只有50%左右,并且未有一个城市实现直饮水。另外,因为这说的是出厂水水质,而入户自来水还可能因管网锈蚀、二次供水等问题受到二次污染,还有,这只是城市自来水厂的水质,大量农村居民的饮用水甚至都没经过最基本的处理。所以,实际情况还可能更糟糕,甚至都不到50%的合格率。  对自来水水质的检测,有专家表示,之所以出现检测全部“合格、达标”的现象,很大原因是各家水厂的水质都是自检自测的,并无第三方的监督,另外,检测次数较少,也并不容易得到真实的检测数据和结果。然而,此次曝光之后,公众最大的疑惑已经不在于水质的检测流程及次数,而是在于,住建部2009年的水质检测结果,为何至今还未公布?关系民生的大事,却为何要此般遮遮掩掩?  关系民生大事的事从来都不是国家机密,而这项调查也是住建部兴师动众、耗费巨资巨进行的,如果连结果都不能向社会公布,而事后也并未采取任何的处理措施,那么,这样的调查又有什么意义呢?而进一步来说,不公示结果不告诉大家真相,大家喝到的就是安全的自来水么?在水资源重度污染的今天,自来水出现不合格的现象并不是不能理解,出现问题之后的态度才是最重要的。面对并不“合格、达标”的自来水,有关部分应该做的并不是回避,而是尽快出台措施去处理。  不管水资源污染有多严重,让百姓喝到真正合格达标的自来水,并不是一点办法都没有。具体而言,首先要从水处理的技术上入手,尽量多的建造活性炭池子,必要的地方上马臭氧技术;其次加快水管网的更快,以避免二次污染;第三也是最重要的一点,加强第三方检测和监督,让百姓也参与水质检测,才能提高有关单位的责任感和工作效率。  另外,供水成本和政府投入也都是一些糊涂账,有关部分工作的不透明也容易导致自来水这项民生大事出现纰漏,建立透明及时的工作机制也势在必行。唯有这样,才能保证自来水达到真正100%的合格率,才能保证百姓喝到合格达标的自来水。
  • ASML最新光刻机曝光:20亿一台
    众所周知,制造7nm及以下工艺的芯片,需要用到EUV光刻机,而全球仅有ASML能够生产。ASML在2015年,就推出了第一代EUV光刻机WINSCAN NXE:3400B,之后在2019年推出了NXE:3400C,2021年推出了NXE:3600D。不过据称,NXE:3600D型号的EUV光刻机,支持的工艺可能仅到3nm,如果要制造2nm的芯片,光刻精度还要提升,需要新一代的High-NA极紫外光刻机才行。而光刻精度怎么提升,就是数值孔径的提升了, 前几代光刻机,比如3400B/C、3600D的数值孔径都是0.33NA的,解析度(精度)为13nm,单次构图间距为32nm到30nm。而要生产2nm的芯片,数值孔径要变为0.55NA,也就是解析度(精度)为8nm,这样可以更更快更好地曝光更复杂的集成电路图案,同时单次构图间距低于30nm。这种新的EUV光刻机叫做型号,就叫做EXE:5200,目前ASML已经有了规划,预计在2024年底,或者2025年交付。而基于0.55NA数值孔径的光刻机,光刻分辨率将允许芯片缩小1.7倍、同时密度增加2.9倍,其处理晶圆的能力是每小时处理220片12寸晶圆左右,真正用于制造3nm以下的芯片。一小时处理220片12寸的晶圆,其产能有多大?如果是苹果A16这样的芯片,一块晶圆可以切割600块左右,理论上一台这样的光刻机,一年可以就光刻10亿颗以上… … 至于价格方面,ASML表示,其0.55NA的下一代EUV光刻机单价将达到3亿多美元(约合20亿元人民币)。至于买家,当然只有台积电、三星、英特尔三家才有资格购买,其它的晶圆厂,能够买到0.33NA的EUV光刻机,就已经非常不错了,不要想这种0.55NA的。当然,如果不生产7nm及以下的晶圆,EUV光刻机都不需要,DUV就够了,更就不用纠结这3亿多美元一台的0.55NA的EUV光刻机了。
  • 紧肤水含致癌物 10种不合格化妆品曝光
    化妆品使用不当也会致癌!2010年1月14日,国家质检总局公告2009年第3批产品质量监督抽查结果,10种化妆品产品不合格被曝光。其中,温岭市静辉化妆品有限公司生产的静辉莹泽素染发膏(自然黑2.0)被查出可致癌物质间苯二胺,深圳市泰保利化妆品有限公司生产的强力收毛孔紧肤水被查出可致癌物质甲醇。  据悉,此次共抽查的是北京、天津、上海、江苏、浙江、福建、广东等地区238家企业生产的473种化妆品产品。抽查发现有10种产品不合格,主要是菌落总数超标、总活性物等项目不合格。  菌落总数表示产品受细菌污染的程度,使用菌落总数超标的化妆品,容易引起皮肤感染、引发炎症,直接对人体健康安全构成威胁。该项目不合格的包括——  - 上海霞飞日化有限公司生产的儿童幼嫩乳霜  - 深圳市佰禾生物技术有限公司生产的焗油保湿氨基酸营养洗发露  - 厦门芳雪蘭化妆品有限公司生产的海马SOD蜜(佛香型)  - 惠州市百年丽人化妆品有限公司生产的玫瑰保湿爽肤水  有效物、活性物含量高低主要反映产品去污效果的强弱。有效物项目不合格的是——  - 惠州市天品日用化工有限公司生产的“安秀”深层去屑洗发露  总活性物项目不合格的包括——  - 厦门奥莱雅化妆品有限公司生产的海马梦幻香水沐浴乳(保湿润肤型)  - 惠州市天品日用化工有限公司生产的“安秀”清爽花香沐浴露  - 福州丰华化妆品有限公司生产的美白莹润沐浴露  此次抽查发现,个别产品检出可致癌物质甲醇、间苯二胺。据了解,甲醇吸收入体内,在肝脏中会被氧化酵素转化为致癌物“甲醛”,可能对视网膜、中枢神经、肝、淋巴造成严重伤害。间苯二胺最主要的危害是正铁血红蛋白血症和致癌作用,该物质在染发剂中是禁用的。
  • 聚焦315:毒玩具曝光1年后仍在放毒
    毒玩具曝光1年后仍在放毒 工商部门接举报不作为  去年央视“315”晚会曝光了广东汕头的垃圾玩具事件,汕头市副市长公开向消费者道歉。据央视《第一时间》报道,临近“315”,央视财经频道记者近日再次来到中国最大的玩具生产基地——汕头市澄海区回访,发现这里毒玩具依然猖獗。  重点曝光过的场景再现  去年记者曝光的二料作坊难道还会存在吗?3月1日,记者来到汕头澄海区,在眼前的这条街道上,大大小小的废品回收站有很多家。记者随意走进一家废品回收站,店家说,他们主要是回收塑料废品,收集好了之后,就卖给澄海区的一些二料加工作坊。记者又来到另一家废品回收站,和其他废品回收站一样,这些垃圾里面还掺杂着大量的沙土、旧手套以及使用过的卫生纸等生活垃圾。  曾经被重点曝光的违规场景,再度出现在了记者的眼前。摄像机的镜头前,这家回收站的工人正在分拣旧塑料玩具。她告诉记者,他们的工作就是拆废塑料,然后销售给加工二料的作坊。  回收医疗垃圾制玩具  这个玩具原料市场的道路两旁,废品回收站一家接着一家,就在回收站的不远处,记者发现了多家二料加工的作坊。  在一家二料加工作坊里,只是简单地把垃圾粉碎,之后再用水冲洗一遍晾干,就销售给玩具工厂了。记者不光见到了脏乱的塑料垃圾,更可怕的是,一些塑料药瓶也被用来当做二料的原料。  眼见记者发现了医疗塑料垃圾,二料加工作坊工人立刻将其夺了回去,并不再和记者说话。二料作坊主还偷偷地告诉记者,虽然他家的二料难闻,但他家的二料比别家的要感觉更沉更重,能赚得更多,秘密就是他会往这些本就极不卫生的二料里添加东西。  这位二料作坊的老板说,澄海很多二料加工作坊不仅都在使用废品回收站的废品塑料,为了卖更多钱,他们还会在二料里加石头粉。而且,这些来源不同、添加有毒的物质之后,都只会经过简单处理加工成所谓的“二料”,之后就会直接送到玩具厂家做成儿童玩具。  垃圾二料玩具也进大超市  孩子的玩具,就是由这些塑料垃圾加工成的,这些由垃圾加工成的所谓二料,不但产品质量有问题,连最基础的卫生标准也不能达标。  在汕头市最大的玩具批发市场“澄海塑料城”里,记者看到,这里销售的玩具产品根本就没有国家质检部门颁发的3C安全认证标志,甚至很多产品连厂址、厂名都没有标注。  众多的商家集体违规销售三无产品,这的确超出了记者的想象,记者以买家的身份在澄海塑料城调查了十几家批发玩具的商铺,每一家都销售着大量的三无产品。这些商家透露,供应大超市的产品,一般会使用新料和质量好一点的二料。而那些三无产品玩具,通常会使用比较差的二料,因为不合格,所以大家都不敢印厂名和厂址。但一些经销商告诉记者,如果记者需要,他们随时可以印上这些标注。  监管虚设  工商所竟让举报人处理三无产品  玩具经销商告诉记者,每次工商所按照上级要求查处不合格产品的时候,都提前通知商铺关门几天,等查处时间过去后再开业。在另一家商铺,经销商告诉记者,工商所只查假冒大品牌的产品,对三无产品从来不查处。  走进澄海塑料城,记者抬眼就看到汕头市澄海区广益工商所的位置,工商部门是政府主管市场监管和行政执法的工作部门,但对于市场里玩具商家大肆销售不合格的三无玩具产品,这里的工商部门并没有进行查处。  在记者拿出既没有厂名厂址也没有3C认证和假的3C认证产品后,工商所的工作人员只是让记者与经销商自己去协商处理,记者反映市场里存在的问题,这个工商所的工作人员,连办公室都不愿离开,更谈不上前往市场现场取证查处。  行内爆料  标有3C认证的玩具也是假冒  据一位经销商说,很多标有3C认证的玩具产品也是假冒的,网上一查就知道。  记者按照经销商的说法买了一件标有3C认证的玩具,在3C认证的官网上,记者输入了产品证书编号、企业名称、产品型号等要求填写的事项,输入全部要求事项后查询显示没有找到记录。  对话商家  商家自印“3C”认证  记者:你这有没有3C认证啊?  澄海塑料城商家:印3C是吧?  记者:对。  澄海塑料城商家:可以啊,多少件啊?  记者:能印上去吗?  澄海塑料城商家:可以啊,这是我朋友的,怎么商量都可以啦,是不是啊?  商户称检查前会有通知  记者:你不怕工商所他们查啊?  澄海塑料城商家:哪里查啊?查了我们都不用开店了。  记者:都不查啊?  澄海塑料城商家:不是不查,有抓严的时候,他都叫我们把门关掉啊。  记者:就是查了叫你们把门关掉,过几天再开?  澄海塑料城商家:嗯。  工商所接举报却没动作  记者:3C认证你们管吗?  广益工商所工作人员:我们有检查但是不管,3C是公司规定要强制嘛。  记者:它没有生产厂家的也不管是吧?这个产品上没有生产厂家啊。  工商所人员:我们有管啊,你厂家有没有啊,你如果有证据就拿到所长那边,你告诉他哪一家,看怎么说。  记者(在副所长室):您好,打听个事,咱们塑料城不卖的好多三无产品嘛,工商所的话查不查?  工商所人员:三无产品?  记者:对啊,它就是无生产厂家,没有3C认证标志,也没有厂名。  工商所人员:你已经买了还是怎么样?  记者:买了,货都有。(最后,工商所的工作人员只是让记者与经销商私下协商处理。)  事件回放  汕头垃圾玩具事件  2012年央视“315”晚会上,曝光了广东汕头的垃圾玩具事件,玩具行业是广东汕头市澄海区的支柱产业,澄海当地与玩具相关的企业有3000多家,拥有一大批驰名商标、名牌产品和专利授权产品。记者发现,塑料瓶、密封胶瓶,甚至一些输液管这样的医疗垃圾,都成了当地回收站的抢手货。更可怕的是,这些没经过任何处理的医疗垃圾,最终变成了孩子们手中的玩具。  问题玩具经曝光后,汕头市澄海区委区政府连夜对全区塑料加工黑作坊、黑塑料回收站进行清查整治。汕头市主管玩具产业的副市长在接受专访时,也就问题玩具事件向消费者道歉,当地政府部门也对相关渎职人员作出停职处理。  面对记者的举报,工商所人员表示让双方自己协商解决。
  • 【实验人员都要看】3.15晚会曝光违禁添加药物如何检测
    昨晚的央视315晚会各位都看了吗?晚会曝光,有的养殖户、厂家,为了一己之私,竟然偷偷给獭兔等动物喂食各种不该喂食的喹乙醇、氯羟吡啶等违禁药物,是不是觉得又不能愉快的吃肉肉了,本宝宝表示不开心。那么,问题来了,喹乙醇、氯羟吡啶到底是什么?有什么危害?我们如何检测饲料中是否添加的喹乙醇呢?晚会还澄清谣言:饮料颜色变浅不是因为色素超标,竟是检测方法不对,那正确的检测方法又是什么呢?不要着急,听小编来为你一一解读。喹乙醇喹乙醇(olaquindox)又称喹酰胺醇,违规喂食喹乙醇会导致其蓄积在动物体内,诱变细胞染色体畸形,此外还会造成耐药性,给人类身体健康带来潜在危害。参考标准:《农业部2086号公告-5-2014 饲料中卡巴氧、乙酰甲喹、喹烯酮和喹乙醇的测定 液相色谱-串联质谱法》、《gb/t 8381.7-2009 饲料中喹乙醇的测定 高效液相色谱法》前处理耗材:博纳艾杰尔 cleanert pep 固相萃取柱150 mg/6 ml前处理仪器:博纳艾杰尔 qdaura 卓睿全自动固相萃取仪spe-40氯羟吡啶氯羟吡啶(clopidol),其商品名为克球粉,具有广泛的抗球虫作用。但是,长期或过量的用药会造成氯羟吡啶在动物体内和组织中的残留和在食物链中的蓄积,对环境及公众健康构成严重的潜在危害。前处理耗材:cleanert alumina-n固相萃取柱:500 mg/6 ml净化方法:先将小柱用10 ml乙腈活化,取备用液过柱,控制流速为1 ml/ min,收集上样流出液;用10 ml乙腈分两次冲洗放置备用液的离心管,再以相同流速洗脱小柱,并收集洗脱液;将所有流出液与洗脱液于50℃氮气吹至近干;最后用1 ml 10%甲醇水溶液溶解残留物,然后涡旋振荡2 min,再超声2 min,过0.22 μm滤膜,待测。合成着色剂检测合成着色剂又称合成色素,广泛用于各种食品中用于改善商品外观,增加商品的市场竞争力,只要添加计量在国家规定范围里就是安全的,可以放心食用的。博纳艾杰尔开发的检测方法中使用cleanert pwax固相萃取柱(150 mg/6 ml)进行样品净化,可同时检测9种合成着色剂,净化效果好,样品回收率高。样品净化方法活化:6ml甲醇,6ml水上样:提取液全上样;淋洗:6ml水(ph值约4)6ml甲醇;洗脱:6ml 2%氨化甲醇;希望以上信息能帮助到您!欲获得完整应用方法可与博纳艾杰尔科技当地销售员联系或来电咨询:400-606-8099(全国统一客服热线)
  • 315负责曝光,我们负责解决,曝光商品解决方案专题即将上线
    p style="text-align: center text-indent: 0em "strong/strong/pp style="text-align: center"a href="https://www.instrument.com.cn/zt/3152020" target="_blank"img style="max-width: 100% max-height: 100% width: 600px height: 187px " src="https://img1.17img.cn/17img/images/202003/uepic/873f7e24-2788-4be3-9825-157b444b930b.jpg" title="新闻用图.png" alt="新闻用图.png" width="600" height="187" border="0" vspace="0"//a/pp style="text-align: center text-indent: 0em "a href="https://www.instrument.com.cn/zt/3152020" target="_blank"strongspan style="color: rgb(84, 141, 212) "点击进入/span/strong/abr//pp style="text-indent: 2em text-align: justify "strong央视的“3.15晚会”已连续举办29届,每年的“3.15晚会”都会曝光一些渉及国运民生的重大惊人黑幕!其更是被网友戏称“比春晚还精彩”,其关注度可见一斑。/strong/pp style="text-indent: 2em text-align: justify "每年的“3.15晚会”曝光的事件性质各有不同,可能有行业内幕,可能有商业套路;可能有网络骗局,可能有售后服务,strong但离不开的是每年关于产品质量和食品安全的曝光。/strong/pp style="text-indent: 2em text-align: justify "strong所谓“魔高一尺道高一丈”,既然有那么多的假货出现,我们自然就有相应的办法去检测并发现它。以2019年的3.15晚会为例,曝光的电子烟、翻新纸尿裤、“化妆”土鸡蛋、“毒辣条”、医疗垃圾玩具其实都是可以通过科学仪器进行分辨的,/strong下面就为大家列举一些解决方案,揭穿这些产品“面具”:/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居左" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: left margin: 0px 0px 10px "电子烟:/h1p style="text-indent: 2em text-align: justify "电子烟存在的问题主要是尼古丁致成瘾,青少年吸食电子烟,将来都会成为烟草的吸食者,且很多电子烟液中尼古丁含量与标示含量不符,实际含量非常高,误导并危害消费者;另一方面则是strong电子烟中的一些有害物质,烟液中通常含有香料、丙二醇和甘油,还含有大量甲醛,被测试的四种烟液每100次抽吸产生的电子烟雾中甲醛检出量在2.3毫克到14.9毫克之间,是我国居室内空气中甲醛最高容许浓度0.08mg/msup3/sup的数十倍甚至上百倍。/strong汽化的丙二醇和甘油对于呼吸道有强烈的刺激作用,目前越来越多的研究证实电子烟也会释放有害物质,一定程度上威胁到吸烟者和被动吸烟人群的健康。/pp style="text-indent: 2em text-align: justify "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px "解决方案:/span/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-911042.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟中尼古丁检测产品配置单(液相色谱仪) /strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-893232.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟液体中尼古丁、丙二醇和甘油检测产品配置单(气相色谱仪) /strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-916924.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟液中丁酸检测产品配置单(吹扫捕集)/strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-868588.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟叶中2,3-丁二醇检测产品配置单(气相色谱仪) /strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://search.instrument.com.cn/w/search?act=solutionpaper&keywords=%E7%94%B5%E5%AD%90%E7%83%9F" target="_blank" style="text-decoration: underline color: rgb(84, 141, 212) "span style="color: rgb(84, 141, 212) "strongspan style="font-style: italic font-weight: bold color: rgb(51, 153, 204) line-height: 18px font-size: 20px "了解更多。。。/span/strongstrongspan style="font-style: italic font-weight: bold color: rgb(51, 153, 204) line-height: 18px font-size: 20px "/span/strong/span/a/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居左" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: left margin: 0px 0px 10px "翻新纸尿裤:/h1p style="text-indent: 2em text-align: justify "黑心厂商回收废弃纸尿裤,制成所谓的“散浆”后,再生产成成人纸尿裤、妇女用卫生棉、婴幼儿纸尿裤后再售卖,且未经消毒处理。霉烂的散浆生产的产品严重危害了人体的健康。按照我国《GB15979-2002 一次性使用卫生用品卫生标准》规定,相关产品需要满足一下标准:/pp style="text-align: center"img style="max-width: 100% max-height: 100% width: 664px height: 346px " src="https://img1.17img.cn/17img/images/202003/uepic/edaafd61-40ee-4907-9b3e-e59d6a4d8bce.jpg" title="截屏2020-03-11下午3.58.40.png" alt="截屏2020-03-11下午3.58.40.png" width="664" height="346" border="0" vspace="0"//pp style="text-indent: 2em text-align: justify "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px "解决方案:/span/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-903109.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "菌落中菌落总数检测产品配置单(接种仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-893613.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "菌落总数、总大肠菌群、耐热大肠菌群、大肠埃希氏菌、贾地鞭毛虫和隐孢子虫检测产品配置单(基因扩增仪)/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-324704.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "菌落总数检测产品配置单(菌落计数器)/span/a/pp style="text-indent: 2em text-align: justify "a href="https://search.instrument.com.cn/w/search?act=solutionpaper&keywords=%E7%BA%B8%E5%B0%BF%E8%A3%A4" target="_blank" style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) text-decoration: underline "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) "了解更多。。。/span/a/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居左" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: left margin: 0px 0px 10px " span style="text-indent: 2em "“化妆”土鸡蛋/span/h1p style="text-indent: 2em text-align: justify "通过在鸡的饲料中添加“斑蝥黄”这种添加剂,让蛋黄的颜色变深,伪装成土鸡蛋进行售卖,虽然不至于影响健康,但其实在以此办法获得高利润,欺诈消费者。/pp style="text-indent: 2em text-align: justify "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px "解决方案:/span/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-910248.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "土鸡蛋中斑蝥黄检测产品配置单(液相色谱仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-911873.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "鸡蛋中新鲜度,血斑,蛋白质含量检测产品配置单(近红外光谱仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-893527.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "饲料,饲料原料中转基因成分检测产品配置单(基因扩增仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-244113.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "饲料中砷检测产品配置单/span/a/pp style="text-indent: 2em text-align: justify "a href="https://search.instrument.com.cn/w/search?keywords=%E9%B8%A1%E8%9B%8B&act=solutionpaper&filter=0&filter2=0&fuzzy=0&sort=0&fromdate=&todate=" target="_blank" style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) text-decoration: underline "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) "了解更多。。。/span/a/pp style="text-indent: 2em text-align: justify "剩下的毒辣条”和医疗垃圾玩具都有对应的解决方案,此处不再一一列举。/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居中" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: center margin: 0px 0px 20px "span style="font-family: 楷体, 楷体_GB2312, SimKai font-size: 20px "2020年消费维权年主题为“凝聚你我力量”,strong今年的“3.15晚会”会揭秘哪些假冒伪劣商品呢?这些劣质商品要如何分辨呢?/strong/span/h1p style="text-indent: 2em text-align: justify "strong对于辨别这些劣质且危险的产品,科学仪器是必不可少的,为此,仪器信息网特别开设了span style="font-size: 16px font-style: italic font-weight: bold line-height: 18px color: rgb(255, 0, 0) "“破解3.15,曝光商品解决方案大盘点”/span,供质检单位和广大民众参考。/strong/pp style="text-indent: 2em text-align: justify "strong/strong/pp style="text-align: center"a href="https://www.instrument.com.cn/zt/3152020" target="_blank"img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202003/uepic/47427dc2-7d60-4a31-b456-5aa90140c440.jpg" title="新闻用图.png" alt="新闻用图.png"//a/pp style="text-align: center text-indent: 0em "a href="https://www.instrument.com.cn/zt/3152020" target="_blank"strong点击进入/strong/abr//p
  • 瘦肉精再被曝光,我们离安全的餐桌究竟还有多远?
    3.15 晚会 曝光回顾 央视315晚会曝光青县瘦肉精羊肉问题 央视曝光后,沧州市委、市政府高度重视,迅速召开处置工作调度会,成立处置工作领导小组,立即责成农业农村、市场监管、gong安等部门连夜赶赴现场进行调查核实。目前,涉事企业负责人已被控制,对问题羊肉进行封存,正在追溯瘦肉精来源。 何为瘦肉精? 瘦肉精是一类药物的统称,任何能够抑制动物脂肪生成,促进瘦肉生长的物质都可以称为“瘦肉精”。能够实现此类功能的物质主要是一类叫做β-受体激动剂(也称β-xing奋剂)的药物,其中较常见的有盐酸克仑特罗、沙丁胺醇、莱克多巴胺 、硫酸沙丁胺醇、盐酸多巴胺、西马特罗和硫酸特布他林等。家畜摄入“瘦肉精”后能加速生长、提高瘦率、降低脂肪沉积、提高饲料报酬等,使用“瘦肉精”后会在动物组织内形成残留,消费者使用后直接危害身体健康。 我国在2002年就已经严禁瘦肉精作为兽药和饲料添加剂,但在畜牧业生产中“瘦肉精”的使用仍屡禁不止。 全面排查,严厉打击,月旭科技,应用支招。 月旭科技参照《农业部1063号公告-7-2008 饲料中8种β-受体激动剂的检测 气相色谱-质谱法》,对饲料中4种β-受体激动剂进行检测。 净化步骤 SPE柱:月旭Welchrom P-SCX小柱,规格60mg/3mL。活化:3mL甲醇,3mL水,弃去;上样:准确吸取2mL试样溶液加至小柱,弃去;淋洗:2mL乙酸溶液,3mL甲醇,弃去;洗脱:3mL洗脱液洗脱至10mL带盖玻璃试管衍生瓶中,压干;将洗脱液于40℃下氮吹至干。 衍生:在衍生瓶中加入甲苯100μL,衍生试剂100μL,充分涡旋混匀后,置70℃烘箱中,反应1h。冷却至室温后上机测定。 色谱条件 色谱柱:WM-5MS,30m×0.25mm×0.25μm。进样口温度:250℃;升温程序:100℃,保持1min,以10℃/min升温至280℃,保持4min;载气:高纯氮气(纯度99.999%);进样方式:不分流进样;恒流模式:1.0 mL/min;进样量:1μL。 质谱条件 电离方式:电子鸿轰击电离源(ESI);电离能量:70eV;传输线温度:280℃;离子源温度:230℃;四极杆温度:150℃;监测方式:马布特罗:277.1/204.0/296.0/311.1;克伦特罗:262.0/212.0/243.0/277.0;沙丁胺醇:369.2/73.0/116.0/203.0;班布特罗:354.2/282.1/309.1/439.3;溶剂延迟:9min。 色谱图或加标回收率结果
  • "315"曝光兽药滥用 这些仪器企业在行动!
    p  刚刚过去的“315”,央视晚会曝光了多家公司涉嫌违规在饲料中添加兽药。/pp  其中,成武县旺泰饲料有限公司的产品速肥肽、郑州百瑞动物药业有限公司的产品厚祺峥重(浓缩型)、河南漯河市宇信生物科技有限公司的产品日长三斤、江苏远方中汇生物科技有限公司的产品造肉1号以及河南新纪元动物药业有限公司的产品都被爆添加了违禁成分。/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201703/noimg/fe3a6c7e-9715-4f1b-b8a9-e16bd2fc78a6.jpg" title="177694d7-42c6-456b-ad2b-657e4f46d5f2.jpg"//pp  据新闻爆料,喹乙醇和二氢吡啶以及人用兽药成饲料添加中的主要违禁成分。/pp  此前,国家饲料药剂添加规范明令记载喹乙醇适用于35公斤以下猪,禁用于禽,兽药管理条例更是明令禁止将人用药用于动物,而且农业部2009年曾发文整治喹乙醇滥用。这些违禁成分可能造成人体耐药性,对人体造成潜在危害。/pp strong 何为喹乙醇?/strong/pp  又称喹酰胺醇,商品名为倍育诺、快育灵。由于喹乙醇有中度至明显的蓄积毒性,对大多数动物有明显的致畸作用,对人也有潜在的三致性,即致畸形,致突变,致癌。喹乙醇本身不稳定,在短时间内代谢,其在动物体内有多种代谢产物,以喹噁啉 -2-羧酸(QCA)、3-甲基喹噁啉-2-羧酸(MQCA)最为常见。/pp  《中国兽药典》(2005版)明确规定,喹乙醇被禁止用于家禽及水产养殖。另外,《兽药典》及《饲料药物添加剂使用规范》也都明确规定了喹乙醇的适用范围。/pp  2009年国标8381.7文件、2006年农业部781号公告和2014年农业部2086号公告就先后推出过测定饲料中喹乙醇,动物源食品中喹噁啉-2-羧酸、3-甲基喹噁啉-2-羧酸的液相和液相色谱-质谱检测方法。/pp  在全民关注“315”之际,屡遭曝光的违规兽药也引起了仪器厂商的关注。近期,各大厂商纷纷推出用于违规兽药添加剂检测的解决方案,仪器信息网编辑摘录部分如下:/pp strongspan style="color: rgb(0, 112, 192) " a style="color: rgb(0, 112, 192) text-decoration: underline " title="沃特世:应对喹乙醇及其代谢物测定提供完整解决方案" target="_blank" href="http://www.instrument.com.cn/application/Solution-822363.html"span style="color: rgb(0, 112, 192) "沃特世:应对喹乙醇及其代谢物测定提供完整解决方案/span/a/span/strong/pp  沃特世作为液质仪器行业整体解决方案的领先者,不仅利用Oasis聚合物填料中的HLB(200mg/6CC)及MAX(60mg/3CC)分别将本次曝光的喹乙醇及其代谢物等富集净化,而且也将此类化合物收录在四极杆及高分辨兽药筛查数据库中,更结合国标方法推出了测定喹乙醇及其代谢物的完整解决方案,以助相关检测机构高效检测食品饲料中兽药残留,保障人民食品安全....../pp  a title="莱伯泰科:饲料样品中喹乙醇检测产品配置单(固相萃取)" target="_blank" href="http://www.instrument.com.cn/application/Solution-822326.html"span style="color: rgb(0, 112, 192) "strong莱伯泰科:饲料样品中喹乙醇检测产品配置单(固相萃取)/strong/span/a/pp  本方法使用SePRO全自动高通量柱膜通用固相萃取系统净化饲料中喹乙醇回收率在90.9%~96.3%,RSD为2.0%,结果比较理想,验证样品加标浓度在10ug/mL回收率可靠,实验可行,避免人工操作繁琐的问题....../pp  a title="维德维康:“315”检测产品列表" target="_blank" href="http://www.instrument.com.cn/netshow/sh103232/news_214958.htm"strongspan style="color: rgb(0, 112, 192) "维德维康:“315”检测产品列表/span/strong/a/pp  2003年我国农业部明确规定了在猪肌肉与肝脏组织中喹乙醇代谢物的最大残留限量分别为:4 μg/kg( ppb) 、50μg/kg (ppb)。就此,维德维康推出酶联免疫试剂盒和胶体金快速检测卡等产品....../pp  a title="天津阿尔塔科技:First Standard® 标准品应用于兽药检测" target="_blank" href="http://www.instrument.com.cn/netshow/SH103034/news_214936.htm"span style="color: rgb(0, 112, 192) "strong天津阿尔塔科技:First Standard® 标准品应用于兽药检测/strong/span/a/pp  在近日的315曝光中,一些饲料企业瞒天过海往饲料中非法添加各种“禁药”,包括“人用西药”。标准品是兽药检测中的必备试剂,First Standard® 标准品涵盖文中的所有兽药种类....../pp  a style="color: rgb(0, 112, 192) text-decoration: underline " title="【315曝光:饲料中的喹乙醇】看睿科检测解决方案" target="_blank" href="http://www.instrument.com.cn/netshow/SH102039/news_214987.htm"span style="color: rgb(0, 112, 192) "strong【315曝光:饲料中的喹乙醇】看睿科检测解决方案/strong/span/a/pp  本文参考《农业部2086号公告-5-2014饲料中卡巴氧、乙酰甲喹、喹烯酮和喹乙醇的测定液相色谱-串联质谱法》,建立了利用高通量全自动固相萃取仪(reeko fotector plus)结合液相色谱/质谱检测饲料中喹乙醇的方法....../pp  a title="猪肉中的药物残留害人害己 3.15海能在行动!" target="_blank" href="http://www.instrument.com.cn/netshow/SH101343/news_214878.htm"span style="color: rgb(0, 112, 192) "strong猪肉中的药物残留害人害己,3.15海能在行动!/strong/span/a/pp  饲料违规添加禁用药物,使饲养的动物傻吃酣睡猛长,但是抗生素却在肉中有残留,人食用这种肉以后,或产生“耐药性”。海能仪器应用实验室,现针对食用肉类中四种硝基呋喃类代谢物残留量测定,为大家提供以下方案....../ppbr//p
  • 那些被315曝光的食品企业现在怎样了 ?
    2023年315晚会上,央视曝光了一起震惊公众的食品安全事件——“香精大米”现象。安徽淮南寿县永良米业、安徽合肥香王粮油食品科技有限公司、安徽淮南市楚丰工贸有限公司、上海朗枫香料有限公司等企业因涉嫌生产和销售假冒伪劣的“泰国香米”,在2023年315晚会上被点名批评。事件发生后,市场监管、公安等联合执法组迅速介入,对涉事企业进行了严格的查处。安徽香王粮油食品科技有限公司因其在食品中添加其他化学物质和其他可能危害人体健康的物质,于2023年6月被列入严重失信企业名单。随后,该公司遭遇多家食品经销商的起诉,并在同年8月被吊销食品生产许可证和营业执照,同时被处以罚款。寿县永良粮油食品有限公司和淮南市楚丰工贸有限公司作为“香精大米”的加工厂商,分别被处以200万元和555.88万元的罚款。楚丰工贸公司因此次事件受到的冲击尤为严重,五年内不得从事食品经营相关工作,其负责人也因大量欠债而官司缠身,多次被列为失信人员。企查查显示负责人被限制高消费,企业存在11条自身风险21条关联风险。相比之下,作为香精供应商的上海朗枫香料有限公司和上海枫米实业有限公司,在去年的风波中并未受到明显的处罚。这一现象引发了公众对于食品安全监管力度和公平性的广泛讨论。2022年央视“315晚会”上,一则土坑酸菜制作过程被曝光,工人穿着拖鞋甚至光脚踩踏腌制土坑酸菜,以及制作环节中各种不卫生的操作方式,让人作呕。主要涉事企业湖南插旗菜业等酸菜企业是康师傅老坛酸菜等经典方便面的供应商。事发后,湖南当地监管部门对涉事酸菜企业进行了查处,康师傅等方便面品牌也纷纷致歉。酸菜类方便面被大面积下架。尽管事发2月后,这些酸菜方便面带着检测证明重新上架各大商超,但是土坑酸菜的制作过程给广大消费者留下了很深的心理阴影,酸爽的酸菜方便面再也难以回到昔日的繁华。这些事件不仅对涉事企业造成了直接的经济损失和声誉损害,也引起了消费者对于食品安全的高度关注。食品安全问题关乎民众的健康福祉,任何忽视质量、违反法规的行为都将受到法律的严惩。这起事件也警示着其他食品生产企业,必须严格遵守食品安全法律法规,确保产品质量,维护消费者权益。在食品安全监管方面,此事件也促使相关部门加强了对食品生产和销售环节的监督检查,提升了食品安全法规的执行力度。通过加大惩处力度,提高违法成本,可以有效遏制食品安全违法行为,保护消费者的饮食健康。总的说来,315晚会曝光的食品安全事件,不仅是对涉事企业的一次沉重打击,也是对整个食品行业的一次深刻警醒。它提醒所有食品生产和经营企业,必须将食品安全放在首位,严格遵守法律法规,切实承担起保障食品安全的责任。同时,也呼吁监管部门持续加强食品安全监管,确保消费者餐桌上的每一份食物都是安全、健康的。
  • 3· 15来了!曝光海参“水深”!
    受新冠肺炎疫情影响,中央广播电视总台2020年“315”晚会延期4个月后,7月16日晚8点在央视财经频道现场直播。本届晚会聚焦了食品安全、汽车出行、住房精装、美容、在线教育等多个行业,曝光了海参“水深”!养海参整箱放敌敌畏、汉堡王用过期面包做汉堡,鸡腿排保质期随意改、趣头条屡现违规广告,“套户”黑产业链浮出水面等多方面问题。养海参整箱放敌敌畏, 南方海参冒充北方海参 央视“315”晚会首先曝光了海参问题,央视记者在山东即墨采访发现,该地区存在“养海参整箱放敌敌畏,南方海参冒充北方海参”的现象。养殖户坦言,为了清除不利海参生长的其他生物,他刚刚往池塘里加入了不少敌敌畏。而这种现象非常普遍。 一些大棚海参养殖户偷偷告诉记者,他们在养殖过程中也会使用土霉素等兽药原粉,以防海参死亡。《农药管理条例》全文(2017修订)第三十四条规定:农药使用者不得扩大使用范围、加大用药剂量或者改变使用方法。敌敌畏产品包装上明确规定:适用于棉花、小麦、茶树、蔬菜、苹果等多种植物上害虫及多种粮仓、卫生害虫的防治。并不可以使用在海参这类海产品上。 针对此次央视“315”晚会曝光的海参问题,迪马科技快速响应,推出海参中多种农药残留的筛查 GC-MS法、水中敌敌畏等有机磷农药的检测、水中多种兽药残留的检测等相关方案,供大家参考。详细检测方案如下:海参中多种农药残留的筛查 GC-MS法1、适用范围本方案适用于海参中敌敌畏等多种农药的筛查。2、标准品配置混合标准储备溶液:准确称取标准品,用甲苯分别配制成10 mg/mL的标准储备液,再用乙腈配制成2.5 μg/mL的混合标准储备液。3、提取取湿海参,充分均质混匀,(对于干海参样品,建议参照 《GB 31602-2015 食品安全国家标准 干海参》 附录A.3.4.2进行复水后均质)。(1) 称取5 g样品,加入4 g氯化钠、15 mL乙腈,振荡5 min,6000 rpm离心2 min,收集上层清液;(2) 再向下层加入15 mL乙腈,按步骤(1)重复提取一次,合并两次上清液;(3) 将上清液在35 ℃水浴下减压蒸馏至干,加入1 mL乙腈,超声溶解,待净化。4、净化ProElut QuE 2 mL Tube (Cat#:64609)将待净化液转移到2 mL ProElut QuEChERS净化管,涡旋混合1 min,8000 rpm离心2 min,取出上清液,供GC-MS分析。5、色谱条件色谱柱:DM-5MS,30 m×0.32 mm×0.25 μm (Cat.#8231)进样口温度:240 ℃升温程序:初始温度70 ℃,保持2 min,以25 ℃/min升温至150 ℃,再以3 ℃/min升温至200 ℃,再以8 ℃/min升温至280 ℃,保持12 min。载气:氦气流速:1.46 mL/min进样方式:不分流进样进样量:1.0 μL离子源温度:230 ℃接口温度:280 ℃溶剂延迟:5.9 min电子轰击电离源(EI):选择离子监测模式(SIM),分组监测见表16、添加回收结果海参中多种农药残留GC-MS检测的添加回收结果。加标量:2.5 μg/mL混标,加40 μL。多种农药残留标准(10 μg/mL)TIC图水中敌敌畏等有机磷农药的检测1、样品前处理取水样100 mL于250 mL分液漏斗中,用乙酸溶液(1+6)调节pH值6.5左右,用二氯甲烷-丙酮等体积混合溶液(1+1)萃取2次。每次用量分别为20、10 mL,合并2次萃取液经无水硫酸钠脱水,置于旋转蒸发器内(水浴温度45 ℃,转速为40 r/min)减压浓缩至1.0 mL,供气相色谱分析使用。2、色谱分析色谱柱:DM-5 30 m×0.32 mm×0.25 μm (Cat#: 7231)载气:氮气(99.999%)流量:1.0 mL/min氢气流量:3 mL/min空气流量:45 mL/min进样量:1.0 μL柱温:初温100 ℃,保持3 min,以10 ℃/min升至180 ℃,保持2 min,再以5 ℃/min升至230 ℃,保持5 min进样口温度:240 ℃检测器:火焰光度检测器(FPD), 250 ℃来源:《毛细管柱气相色谱法测定水中13 种有机磷农药的方法研究》 环境与职业医学 2009, 2(26):216-218水中多种兽药残留的检测1、应用范围适用于水中氯霉素、磺胺类、四环素类、脱水红霉素以及喹诺酮类等兽药残留检测,氯霉素的检出限是0.1 ng/L,磺胺嘧啶的检出限是0.8 ng/L,磺胺甲基嘧啶的检出限是1.2 ng/L,磺胺吡啶的检出限是0.9 ng/L,磺胺二甲嘧啶的检出限是2.3 ng/L,磺胺甲氧哒嗪的检出限是0.6 ng/L,土霉素的检出限是29 ng/L,金霉素的检出限是35 ng/L,四环素的检出限是20 ng/L,脱水红霉素的检出限是1.1 ng/L,马波沙星的检出限是14.2 ng/L,沙拉沙星的检出限是13.0 ng/L,恩诺沙星的检出限是4.8 ng/L,双氟沙星的检出限是8.8 ng/L。2、提取(1) 水样以0.45 μm滤膜除去悬浮物;(2) 取200 mL水样和100 mL Mcllvaine缓冲液*,混匀,准备净化。*Mcllvaine缓冲液(pH 4.0):称取磷酸氢二钠(Na2HPO412H2O) 27.6 g、柠檬酸(C6H8O7H2O) 12.9 g、乙二胺四乙酸二钠盐37.2 g,用水溶解后稀释并定容至1000 mL。3、净化ProElut PLS 150mg/6mL (Cat.# 68004)活化:依次加入5 mL甲醇、5 mL水,流出液弃去;上样:加入待净化液,流出液弃去;淋洗:加入10 mL 水,流出液弃去,推干小柱;洗脱:加入5 mL甲醇,收集流出液;重新溶解:将流出液在35 ℃下减压蒸至近干,用水定容至1 mL,供HPLC分析。4、色谱条件液相条件氯霉素液相条件色谱柱:Endeavorsil C18, 100 mm×2.1 mm, 1.8 μm(Cat.# 87003)流速:0.2 mL/min进样量:5 μL柱温:40 ℃流动相:A:10 mmol/L乙酸铵溶液 B:乙腈其它兽药液相条件色谱柱:Endeavorsil C18, 100 mm×2.1 mm, 1.8 μm(Cat.# 87003)流速:0.2 mL/min进样量:5 μL柱温:35 ℃流动相:A:0.4%甲酸水 B:甲醇-乙腈-甲酸(40:60:0.4)质谱条件氯霉素质谱条件电离模式:ESI 扫描方式:负离子扫描检测方式:多反应监测 电喷雾电压:-4500 V雾化气压力:50 psi 辅助气压力:50 psi气帘气压力:20 psi 离子源温度:500 ℃其它兽药质谱条件电离模式:ESI 扫描方式:正离子扫描检测方式:多反应监测 电喷雾电压:5500V雾化气压力:50 psi 辅助气压力:50 psi气帘气压力:20 psi 离子源温度:500 ℃5、添加回收结果水中14种兽药残留的LC-MS/MS检测添加回收结果相关产品信息:
  • 紫砂壶黑幕遭央视曝光 业内驳斥“化工壶”说法
    最近半个月,央视先是曝光了美的、九阳等品牌紫砂煲等紫砂类电器的行业内幕——原材料并非广告所宣称的“全部选用纯正紫砂烧制”,甚至还添加了“铁红粉”等化工原料 最近两天,又对宜兴紫砂壶的“行业内幕”进行了曝光:报道称,一些宣称“原矿紫砂”的紫砂壶很可能添加了对人体有害的化工原料。  宜兴紫砂壶在世界范围内享有美誉。“宜兴紫砂”也是“中国陶都”宜兴的一张“城市名片”。央视对紫砂产品的接连曝光,对宜兴紫砂行业乃至整个宜兴市而言,不啻于一场“地震”。为此,宜兴紧急召开了多场会议,意在铁腕整治紫砂行业的生产和经营秩序,清除行业内的“害群之马”。  央视曝光:“紫砂壶”重金属异常  继5月5日央视播出了《图鲜亮,大量紫砂壶被添化工原料》,5月30日及31日,央视节目又接连对宜兴紫砂壶的一些行业内幕进行了曝光。据报道,宜兴市早在2005年就禁止开采当地的紫砂矿产,因此现在市面上紫砂越来越少。随着紫砂价格飙升、紫砂壶销量不断增加,一些紫砂壶生产厂家在制造时开始掺杂使假,不仅大量使用浙江、安徽等外地的矿料或普通陶土,还通过添加“铁红粉”及二氧化钴粉等化工原料,加工生产出各种颜色艳丽、所谓的“原矿紫砂壶”。  央视记者将随机购买的15件紫砂壶和紫砂杯送到上海材料研究所检测中心进行检测。结果发现,除了两件是原矿紫砂茶具外,其余13件样品重金属(如钡、锰、钴、铬等)溶出量都出现了异常。中国保健专家委员会副主任委员西木指出,人工添加或合成这些重金属到日常器具中,会破坏人体的酶系统,危及人体健康,“如果长期使用的话,也有致癌的作用。”  业内专家:驳斥“化工壶”之说  在央视的曝光节目播出后,国内一些紫砂业内专家纷纷站出来,给予高调回击。宜兴市陶瓷行业协会会长史俊棠在接受当地媒体记者采访时,驳斥了“化工壶”的说法。他说:“紫砂泥料本身含有多种化学元素。没有‘化工壶’之说。如果有人这么说,那是危言耸听。”  针对有公众担心添加了化工原料的紫砂壶长期使用是否会影响人体健康,史俊棠会长表示:“为了满足不同层次顾客的需求,使紫砂壶等紫砂产品和作品颜色鲜艳,需要添加适量的氧化物,我个人认为是可以的,但不能超标。”  铁腕整治:实施溯源管理制度  5月30日,早报记者联系了宜兴市多位政府人士及陶瓷行业协会的负责人,他们均表示:正就紫砂壶的事“在开会”。  据了解,2010年5月23日,宜兴市政府专门召开会议,剑指当前紫砂行业发展中存在的产品质量安全、市场经营秩序问题,清除行业内存在的“害群之马”,把“宜兴紫砂”这个城市品牌代代相传下去。宜兴市长王中苏出席会议并作出部署。他指出:当前重点要解决两方面问题,一是要从生产环节入手,解决滥用添加剂的问题 二是要从经营环节入手,解决违法经营的问题。“要迅速行动、开展检查,集中力量查处问题,从快从重打击一批‘害群之马’,确保短期内收到明显成效。”  目前,宜兴市已成立了“紫砂行业经营秩序管理工作办公室”,由市政府分管领导牵头,工商、质监、公安、城管以及丁蜀镇等分管领导为组员,落实责任主体和责任人,意在形成“一级抓一级、层层抓落实”的局面。  据早报记者了解,宜兴市目前已要求所有紫砂产品经营户逐步建立以进货台账登记为主要形式的溯源管理制度。经营户要如实记录进货的品种、规则、数量、使用商标、供货商名称、进货日期及联系方式等。  另据了解,宜兴市工商等部门已于5月31日下午,对央视曝光的个别违规商户进行了现场检查及清理整顿。
  • 央视315晚会曝光碱性钙离子水
    央视315晚会对“永衡碱性钙离子水”等碱性水进行曝光。这些碱性水声称有奇妙功能,改变身体酸性体质。不过专家指出,如果靠碱性水使人体环境偏碱性,反而对人体有害。  据央视曝光称,这些碱性“神奇”水声称100度的钙离子水有降低血压,消除青春痘,等各种神奇功效。天然高速苏打水也打着碱性的概念,在宣传册上同样列举了各种神奇功效。各种瓶装碱性水的广告,都宣称发展一切疾病甚至衰老的根源是酸性体质,为了改变酸性体质的科学性,这些产品还有视频资料进行论证。  为了直观展示这些碱性水的神奇,在产品广告中不约而同出现了类似的实验。在永衡碱性钙离子水广告中,公司董事长用PH试纸分别测试了自来水和碱性水PH值,得出的结论“最快最有效能够迅速中和体能的酸性物质,把我们的体质改变到弱碱性体质。”  对此,北京大学第三医院肾病科主任,北京大学长江学者,教授、博士生导师汪涛表示,医学上没有酸性体质一说,一些慢性疾病,时间长了可能导致人体中堆积酸性废物,并不是酸性废物堆积导致疾病,这个因果关系不能颠倒。正常的人有肾脏或者肺功能很快清除掉。  中国食品科学技术协会副理事长认为如果靠碱性水使人体环境偏碱性,反而对人体有害。“副溶血性,沙门氏菌,这些治病微生物喜欢的环境,都是偏碱性的,如果喝水能让我们的肠胃变碱了,那就是帮助了有害微生物。抑制了有益微生物。”  专家提醒消费者,“凡是符合国家饮用水标准的水,都是好水,都是一样的。碱性水有益健康的说法只是一种营销手段。”
  • 业内曝光香米靠香精调制已存在十几年
    听说过锦上添花,听说过大米添“香”吗?日前,一种叫做香米香精的造假“暗器”被暴露在公众面前。在网络搜索引擎中键入“香米香精”,上万条信息夹杂着“大量供应、批发零售香米香精”的信息“扑面而来”。  7月14日,根据网上的信息,《每日经济新闻》记者以大米加工商的身份拨通了一家香米香精经销商的电话。“马上就可以给您寄样品,这个货(泰国香米香精)卖得很好。”经销商的回答自信满满,大米加工中明令禁止添加的香料成分,对于购买者来说却如此触手可及。  一位不愿具名的粮食专家透露,据其了解,如今市面上一半以上的品牌大米都被“山寨”,存在以次充好、以旧充新、抛光、添加香料香精等违规行为。  香米靠“调”已成潜规则  晶莹剔透的修长外形、芬芳独特的入口滋味,使得“泰国香米”自进入中国市场以来便迅速脱颖而出。但市场上的泰国香米产地和品牌可谓五花八门。  “泰国香米那么贵,这样做也是为了节约成本,提高利润。”广州某香料公司李经理告诉《每日经济新闻》,市面上的泰国香米八成以上都是通过添加香米香精而成。“大家都这么做。”李经理显得很坦然,“这东西也没毒,加了对人也没什么害处。再说了,贵的大米好多人也进不起啊。”  李经理的话似乎道出了众多大米加工商的“心声”。在高额利润与规范经营两条路上,不少人选择了前者。“我们在哈尔滨有个经销商,每个月光从我们这里订货(香米香精)就是两百多公斤,是不是都用在大米上,我也不好说。”深圳某香料公司苏先生表示。  记者了解到,香米香精平均每公斤售价在一两百元不等,媒体此前曝光的西安地区违规加工的“五常香米”,据说加工10吨香米,仅需不到1公斤香精。  其中有多大利润,一位粮油食品贸易商为记者算了一笔账,部分加工厂会低价购进相对普通的大米,通过添加石蜡(可以使大米变得晶莹透亮)、抛光等手法将原本大小不一、品种各异的米粒变成“标准型”。最后香精的加入则会使其由普通米变成“优质香米”。“价格也会由最初购进的三四块每公斤不等,变成五六块钱,甚至更高。”这样以来,每公斤大米可以增值近一块钱。  “一瓶几十块钱的香料(市场价约65元)就能让厂家获利几千块钱,谁都会想走这样的捷径。”该贸易商同时表示,大米中添加的都是食用香精,只要按照标准添加都不会有问题,“主要是怕有些小的加工厂不按规格添加,这人吃了以后就不太好。”  香米乱市有待监管  “不管这种东西有没有毒,国家都是明令禁止在大米加工中添加的。”中国粮食行业协会大米分会一位工作人员表示,2009年卫生部专门发布公告:大米等粮食生产者不得在生产加工过程中使用香精香料。在2009年3月,由国家质量监督检验检疫总局、国家标准化管理委员会新颁布的《大米》强制性国家标准中也明确要求,在大米生产过程中,除符合GB5749(1985年发布的《生活饮用水卫生标准》)规定的水以外不得添加任何物质。  “应该说从1992年我国进行了第二次粮食改革,个体户、非国有供应体系可以开始供应粮食后,这样的现象就开始萌芽。”中国社科院农发所粮食专家李国祥在接受《每日经济新闻》采访时表示,市场放开随即带来的监管难度增大,滋生了这些损害消费者利益的行为  黑龙江龙凤山水农业发展有限公司营销总监王东告诉记者,这样的现象已经存在了十几年,政府的监管很难面面俱到,“目前国内的消费群体整个消费价格偏低,但类似五常大米这样的品类却又比较贵,这就造成了部分商家的不正当竞争。”  提及监管,上述不愿具名的粮食专家表示,不光大米,目前很多农产品(15.29,-0.14,-0.91%)都存在这样的问题,“就像牛奶里添加三聚氰胺一样,不要等到曝光之后相关部门才开始监管,这样的企业也不应该只是罚款了事。”  “这其实是一个道德问题,在监管上确实有一定的难度。可以建立可追溯性系统,你的下家如果是粮食生产企业,你就不能卖给他。一旦查出,供应香料的商家也将受到连带责任。但现状却是我们随处都可以买到这样的产品。”食品营养专家董金狮如此表示。
  • 美的紫砂煲被CCTV曝光 竟是化学原料做
    售价上千元、用于养生保健的“天然紫砂煲”竟然是由普通泥土与化学物质混合而成,美的紫砂煲5月23日被中央电视台《每周质量报告》节目曝光。  天然紫砂形成于几亿年前,对人体健康极有好处,纯正的紫砂是指纯正紫砂天然矿料,非人工配制。然而,据该节目的调查,美的紫砂内胆泥料却是由几种成分配置而成,包括一些化学成分重金属、普通泥土等。  专家称,含化学成分的紫砂和真紫砂外表相近,但有的呈色组份中含有放射性物质,有的含有毒性的重金属盐类矿物,其对人体的危害远超人们想象。专家还告诉记者,真正的紫砂因为有透气的特点,密度不会很大,敲击起来不会有瓷器般清脆的声音,这是消费者鉴别的一个简单的方法。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制