当前位置: 仪器信息网 > 行业主题 > >

半导体专用检测

仪器信息网半导体专用检测专题为您提供2024年最新半导体专用检测价格报价、厂家品牌的相关信息, 包括半导体专用检测参数、型号等,不管是国产,还是进口品牌的半导体专用检测您都可以在这里找到。 除此之外,仪器信息网还免费为您整合半导体专用检测相关的耗材配件、试剂标物,还有半导体专用检测相关的最新资讯、资料,以及半导体专用检测相关的解决方案。

半导体专用检测相关的资讯

  • 半导体杂质检测难?半导体专用ICP-MS来帮你!
    对Fab工厂而言,控制晶圆、电子化学品、电子特气和靶材等原材料中的无机元素杂质含量至关重要,即便是超痕量的杂质都有可能造成器件缺陷。然而半导体杂质含量通常在ppt级,ICP-MS分析时用到的氩气及样品基体都很容易产生多原子离子干扰,标准模式、碰撞模式下很难在高本底干扰的情况下分析痕量的目标元素。珀金埃尔默NexION系列半导体专用ICP-MS,凭借其独特的以动态反应池技术为基础的UCT(通用池)技术,既能实现标准模式、碰撞模式,也可以通过反应模式消除干扰,从根本上成功解决了多原子干扰的技术难题。晶圆中的金属杂质分析(UCT-ICP-MS)晶圆等半导体材料中的主要成分是硅。高硅基体的样品在传统的冷等离子体条件下分析,其中的耐高温元素硅极易形成氧化物。这些氧化物沉积在锥口表面后,会造成明显的信号漂移。NexION系列半导体专用ICP-MS在高硅基体的样品分析中采用强劲的高温等离子体,大大降低了信号漂移。通过通入纯氨气作为反应气,在DRC 模式下,有效消除了40Ar+ 对40Ca+、40Ar19F+ 对59Co+、40Ar16O+ 对56Fe+ 等的干扰。通过调节动态带通调谐参数消除不希望生成的反应副产物,克服了过去冷等离子体的局限,有效去除多原子离子的干扰。在实际检测中实现了10 ng/L 等级的精确定量,同时表现出良好的长期稳定性。基质耐受性:Si 基质浓度为100ppm 到5000ppm 样品100ppt 加标回收稳定性:连续进样分析多元素加标浓度为100ppt 的硅样品溶液(硅浓度为2000ppm)《NexION 300S ICP-MS 测定硅晶片中的杂质》NexION ICP-MS 测定半导体级盐酸中的金属杂质在半导体设备的生产过程中,许多流程中都要用到各种酸类试剂。其中最重要的是盐酸(HCl),其主要用途是与过氧化氢和水配制成混合物用来清洁硅晶片的表面。由于半导体设备尺寸不断缩小,其生产中使用的试剂纯度变得越来越重要。ICP-MS具备精确测定纳克/升(ng/L,ppt)甚至更低浓度元素含量的能力,是最适合测量痕量及超痕量金属的技术。然而,常规的测定条件下,氩、氧、氢离子会与酸基体相结合,对待测元素产生多原子离子干扰。如,对V+(51) 进行检测时去除 ClO+ 的干扰。虽然在常规条件下氨气与ClO+ 的反应很迅速,但如果需要使反应完全、干扰被去除干净,则需要在通用池内使用纯氨气。NexION系列半导体专用ICP-MS的通用池为四级杆,具备精准可控的质量筛选功能,可以调节RPq 参数以控制化学反应,防止形成新的干扰,有效应对使用高活性反应气体的应用。20% HCl 中各元素的检出限、背景等效浓度、10 ng/L 的加标回收率20% HCl 中典型元素ppt 水平标准曲线20% HCl 中加标50 ng/L 待测元素,连续分析10 小时的稳定性《利用NexION 2000 ICP-MS 对半导体级盐酸中的杂质分析》电子特气直接进样分析技术(GDI-ICP-MS)半导体所使用的特殊气体分析传统方法有两种:一种是使用酸溶液或纯水对气体进行鼓泡法吸收,然后导入ICP-MS进行分析;另一种是使用滤膜对气体中颗粒物进行收集,然后对滤膜消解后上机。然而无论是鼓泡法吸收还是滤膜过滤收集、消解,都存在样品制备过程容易被污染、鼓泡时间难以确定、不同元素在酸中溶解度不一样等各种问题,分析结果的可靠性和重现性都难以保证。GDI-ICP-MS系统可以将气体直接导入到等离子中进行激发,避免了额外的前处理步骤,具有方便、高效、不容易受污染等特点,从根本上解决传统方法的一系列问题。GDI-ICPMS气体直接进样技术GDI-ICPMS 直接定量分析气体中金属杂质GDI-ICP-MS法绘制的校准曲线(标准气体产生方式:在氩气中雾化标准溶液,这些标气对所有待测元素的线性都在0.9999以上)《使用气体扩散和置换反应直接分析气体中金属杂质》半导体有机试剂中纳米颗粒的分析(Single particle-ICP-MS)单颗粒ICP-MS(SP-ICP-MS)技术已成为纳米颗粒分析的一种常规手段,采用不同的进样系统,能在100~1000 颗粒数每毫升的极低浓度下对纳米颗粒进行检测、计数和表征。除了颗粒信息,单颗粒ICP-MS 还可以在未经前级分离的情况下检测溶解态元素浓度,可检测到ppb级含量的纳米颗粒,实现TEM、DLS等纳米粒径表征技术无法完成的痕量检测。用ICP-MS分析铁离子(56Fe+)时会受到氩气产生的40Ar16O+的严重干扰。利用纯氨气作反应气的动态反应池技术是消除40Ar16O+对铁离子最高丰度同位素56Fe+干扰最有效的途径,而只有对56Fe+的分析才能获得含铁纳米颗粒分析最低的检出限。90% 环己烷/10% 丙二醇甲醚混合液测定图谱,有含铁纳米颗粒检出TMAH 中含铁纳米颗粒结果图谱:(a)粒径分布;(b)单个含铁纳米颗粒实时信号TMAH 中含铁纳米颗粒粒径和浓度由Fe(OH)2 到总铁的质量换算《利用单颗粒ICP-MS在反应模式下测定半导体有机溶剂中的含铁纳米颗粒 》SP-ICP-MS技术测定化学-机械整平(CMP)中使用的元素氧化物纳米颗粒悬浮物的特性氧化铝和氧化铈纳米颗粒常用于纳米电子学和半导体制造行业中化学-机械 (CMP)半导体表面的平整。CMP悬浮物纳米粒子的尺寸分布特征以及大颗粒的辨别,是光刻过程质量控制的重要方面,会影响到硅晶片的质量。既可以测量可溶分析物浓度、又能测定单个纳米粒子的单颗粒模式ICP-MS(SP-ICP-MS)是分析金属纳米粒子的最有前途的技术。SP-ICP-MS技术具有高灵敏度、易操作、分析速度快的特点,纳米粒子引入等离子体中被完全电离,随后离子被质谱仪检测,信号强度与颗粒尺寸有关。因此SP-ICP-MS可为用户提供颗粒浓度(颗/mL),尺寸大小和尺寸分布。为确保一次只检测一个单颗粒,必须稀释样品以实现分辨的目的。这就要求质谱仪必须能够有很快的测量速度,以确保能够检测到在50nm纳米颗粒的瞬时信号(该信号变化的平均时间为300~500μs)。珀金埃尔默NexION系列半导体专用ICP-MS单颗粒操作模式能够采集连续数据,无需设置定位时间,每秒钟获取高达100 000个数据点。结合纳米颗粒分析软件模块,可以实现单颗粒纳米颗粒的准确分析。采集数据比瞬时信号更快的纳米信号积分图悬浮物1~4归一化颗粒尺寸分布频次图《使用单颗粒电感耦合等离子体质谱法(SP-ICP-MS)分析CeO2 化学机械抛光化浆料》On-line ICP-OES 在线监控磷酸中的硅含量在最新的立式3D NAND 闪存的生产工艺中,需要使用磷酸进行湿法刻蚀。在生产过程中,必须监控这种特殊的、高选择性氮化的磷酸中硅的含量,以控制工艺质量。当磷酸中硅含量发生改变时,必须排空并更换磷酸。在线ICP-OES技术响应迅速,可实现7天*24小时不间断检测,是最适合磷酸中硅含量监控的方法。而Avio500 紧凑的体积非常适合空间有限的Fab 厂;垂直炬管配合独特的切割尾焰技术,不需要任何维护也能获得最佳的数据稳定性。在线监控系统可实现:自动配制校准曲线7天*24小时全自动运行质控功能(超出线性范围则重新校准)可同时监控5个模块(多达20个采样点)允许ICP-OES在线或离线分析间切换点击链接获取文中提到的解决方案和更多半导体相关资料:http://e86.me/4qfk7N关于珀金埃尔默:珀金埃尔默致力于为创建更健康的世界而持续创新。我们为诊断、生命科学、食品及应用市场推出独特的解决方案,助力科学家、研究人员和临床医生解决最棘手的科学和医疗难题。凭借深厚的市场了解和技术专长,我们助力客户更早地获得更准确的洞见。在全球,我们拥有12500名专业技术人员,服务于150多个国家,时刻专注于帮助客户打造更健康的家庭,改善人类生活质量。2018年,珀金埃尔默年营收达到约28亿美元,为标准普尔500指数中的一员,纽交所上市代号1-877-PKI-NYSE。了解更多有关珀金埃尔默的信息,请访问www.perkinelmer.com.cn。
  • 国内最大半导体专用气体纯化设备研发生产基地于大连开工
    近日,大连华邦研发生产基地在大连高新区正式开工建设。该项目将建设成为全国最大的半导体专用设备-气体纯化设备研发生产基地,进一步解决气体纯化技术“卡脖子”问题,为国内芯片制造产业保驾护航。据介绍,大连高新区今年以来围绕国家自主创新示范区建设,不断推动区域产业升级,加快科技创新,加快推进原创性、引领性科技攻关,谋划推动更多科技成果产业化项目落地建设。华邦化学是国内气体纯化设备的龙头企业,由中科院大连化学物理研究所专家联合创办,先后获评国家专精特新“小巨人”企业、高新技术企业、辽宁省瞪羚企业。新建项目紧紧围绕集成电路产业链布局,将进一步打破国际垄断,扩大国内市场领军优势,开拓海外市场,助力我国半导体产业健康发展。开工奠基仪式(央广网发 马晓龙 摄)“企业不断发展壮大离不开大连高新区一流的营商环境,得益于大连高新区党工委、管委会的大力支持。” 华邦化学总经理侯鹏表示,作为行业龙头企业,公司于2013年在大连高新区成立,目前已具备国际一流技术水平,填补了半导体生产关键设备的国内空白,被列入大连市重点拟上市企业名录。随着项目建成投产,公司多年来针对半导体配套产业的诸多前瞻性科研成果将进一步加速转化。记者在开工仪式现场了解到,华邦化学研发生产基地总投资1亿元,占地面积1.25万平方米。项目建成后,将成为国内最大的集成电路专用设备-气体纯化设备生产研发基地,年产值可达到3亿元。该项目开工建设对于加快高新区产业结构调整、提高区域科技创新水平、推进大连市集成电路装备及材料产业集群建设将起到积极的促进作用。随着华邦化学研发生产基地开工建设,大连高新区重点项目建设进一步提质增速,目前,围绕英歌石科学城“1+X”科研方向,大连高新区启动了黄泥川半导体电子产业园、大华新材料创新中心、光芯片与高端光器件产业化项目等67个重点项目,总投资72亿元,四季度新开工项目达25个。
  • 劲拓股份2021年实现营收9.89亿元,多款半导体专用设备进入市场
    4月23日,深圳市劲拓自动化设备股份有限公司公布了2021年度财报。财报信息显示,劲拓股份报告期内实现营业收入约9.89亿元,同比上年增加约1亿元,主要原因为:(1)报告期内,受益行业较高景气度及国内产业链、供应链自主可控的需求趋势,公司电子热工设备、检测设备、自动化设备合计实现营业收入约8.15亿元,同比上年增长约18%,收入规模再创新高。 (2)报告期内,公司加大前沿技术投入,进行相关国产高端电子热工设备、国产半导体设备和国产光电设备的研发和市场开拓,少部分本期验收产品贡献了部分销售收入。此外,报告期内归属于上市公司股东的净利润约8,000万元,同比下降约4,280万元,主要原因为: (1)报告期内,原劲彤投资控股子公司精创业绩亏损,导致公司合并报表业绩减少约1,650万元,该公司偿债能力变弱,导致公司应收款项全额计提坏账准备约1,000万元,以上因素综合影响本期归属于上市公司股东的净利润减少约2,650万元。劲彤投资已完成了其所持精创全部股权的处置,期末不持有精创股权。(2)报告期内,2021年全年计提的股份支付费用较2020年10月实施的员工持股计划计提的股份支付费用增加约1,370万元,导致净利润相应减少。(3)报告期内于本年完工的新厂装修的在建工程转计入固定资产,折旧费用同比上年增加约1,070万元,导致净利润相应减少。 (4)报告期内,部分上游原材料价格上涨导致生产成本承压,加之公司与部分客户(含头部国产面板厂商、头部国产手机厂商)签订的毛利较低的战略性订单,在本期验收,拉低了当期毛利。(5)报告期内,公司为聚焦主业、腾挪发展空间,清理业务条线,打折销售了部分库存设备,对本期毛利率也产生了一定影响。2021年度财报此外,劲拓股份也表示,截至本报告披露日,已有多款半导体专用设备进入市场。
  • 国产!上海精测半导体专用电镜首台交付,电镜年产值预估超5亿元
    仪器信息网讯 近日,武汉精测电子集团股份有限公司(上海精测半导体技术有限公司母公司,下称“精测电子”)表示,上海精测半导体技术有限公司以椭圆偏振技术为核心开发的适用于半导体工业级应用的膜厚量测设备以及光学关键尺寸量测系统,已经取得长江存储、广州粤芯等国内半导体客户的批量重复订单;电子显微镜相关设备已完成首台套的交付。eViewTM全自动晶圆缺陷复查设备是上海精测半导体自主研发的扫描电子显微缺陷复查和分类设备,它具有领先的高分辨率电子束成像能力和自动缺陷分类能力,据描述,该设备是国内首台拥有完全自主知识产权的半导体前道检测设备据悉,2020年12月23日,上海精测半导体技术有限公司宣布推出首款半导体电子束检测设备:eViewTM全自动晶圆缺陷复查设备,并于当日正式交付国内客户,助力半导体产业国产化。该设备是基于扫描电子显微镜技术的复查和分类的设备,应用于集成电路制造过程,可对光学缺陷检测设备的结果进行高分辨率复查、分析和分类,满足10x nm集成电路工艺制程的需求。随着半导体集成电路工艺节点的推进,作为晶圆厂制程控制主力设备的光学缺陷检测设备的解析度已无法满足大规模生产和先进制程开发需求,必须依靠更高分辨率的电子束复检设备的进一步复查才能对缺陷进行清晰地图像成像和类型的甄别,从而为半导体制程工艺工程师优化制程工艺提供依据。eViewTM采用了自主开发的扫描电子显微镜技术,具有超高的的分辨率,适用于10x nm及以下集成电路制程的工艺缺陷自动检测。除了高分辨率电子束成像能力外,利用自主开发的基于深度神经网络(DNN)的人工智能算法进行缺陷自动识别与分类,突破常规的基于机器学习的分类算法,极大提高晶圆缺陷分类的准确度。并采用全新的超低电压EDSX射线探测技术,突破常规设备EDS使用电压的限制,实现轻量元素的高分辨率解析能力。据3月11日公开的《武汉精测电子集团股份有限公司向特定对象发行A股股票募集说明书(注册稿)》,上海精测半导体技术有限公司研发及产业化建设项目偏重于电子束检测应用、聚焦离子束与电子束双束应用、光学关键尺寸测量技术、面向大尺寸 OLED 屏的超快精细激光切割及其检测技术等方向,重点建设半导体检测设备研发及产业化基地,侧重产业园投入及在现有半导体检测设备研发及制造基础上进行工艺优化和技术升级。其研发及产业化建设项目所生产的半导体检测设备及平板显示检测设备主要面向半导体晶圆的检测和量测,部分面向 OLED 检测。其中电镜相关产品类型包括Review SEM 电子束量测设备、FIB SEM 电子束量测设备,应用于半导体电子束检测。涉及新产品研发情况,相关产品具体类别、主要功能及目标客户:项目达产后正常年不含税收入 129200 万元,其具体构成详见下表:Review Sem 电子束量测设备为公司的新产品,其定价采取“成本加成”的定价模式,根据产品生产成本、费用及合理的利润来确定该产品的价格。FIB SEM 电子束量测设备为全新产品,其销售价格是参考其他公司同类型产品中标公告的中标金额(700 万元/套至 826 万元/套),并结合未来市场需求情况而制定。在半导体测试领域,上海精测已成功开发高性能集成电路制造前道量检测进口替代设备,自主研发的集成式膜厚测量设备于 2020 年实现来自国内一线存储客户的订单,未来上海精测持续增加研发投入研发光学检测设备(纳米薄膜椭偏测量装备、光学关键尺寸(OCD)测量装备、硅片应力测量装备)和电子光学检测设备(CD-SEM扫描电子显微镜关键尺寸测 量装备 、Review-SEM 全自动晶圆缺陷复查设备、FIB-SEM 双束系统),实现研发设备的产业化,打破集成电路高端检测设备被国外厂家垄断的局面,填补国内空白,实现进口替代,为之后研发暗场颗粒检测、精密套刻测量、多束电镜、透射电镜等前沿技术和设备提供坚实基础;另一方面,公司将充分利用资本市场功能及优势,采取多元化方式,积极做大做强公司半导体测试板块,提升竞争力。技术可行性半导体产业化过程,设备先行,半导体前道检测设备是制约我国半导体制造产业的“卡脖子”难题,以美国科磊半导体为代表的国际巨头占据了全球量测检测设备大部分的市场。在政府引导和下游市场需求的双重推动下,越来越多的国产设备企业投入到半导体测试领域。上海精测注册成立后,致力于半导体前道量测检测设备的研发及生产,在光学领域自主开发针对集成电路微细结构及变化的OCD测量、基于人工智能深度学习的OCD三维半导体结构建模软件等核心技术,在电子束领域自主开发了半导体制程工艺缺陷全自动检测、晶圆缺陷自动识别与分类等核心技术,填补了国内空白。此外,公司在半导体光学、半导体电子光学及泛半导体领域积极进行项目研发,在半导体单/双模块膜厚测量设备、高性能膜厚及 OCD 测量设备、半导体硅片应力测量设备、FIB-SEM 双束系统、全自动晶圆缺陷复查设备、激光切割设备等方面积累了大量经验,形成了一定技术沉淀。生产及管理可行性目前,上海精测主要聚焦半导体前道检测设备领域,进一步加快上海精测在半导体检测领域相关技术的引进、消化和吸收,使上海精测具备集成式膜厚测量设备(200/300mm 硅片)、用于 200mm 硅基 Micro-OLED 制程膜厚测量设备、高产率 300mm 硅片膜厚检测机等产品的研发及生产能力,同时进一步降低生产成本,提高产品竞争力。上海精测以椭圆偏振技术为核心开发的适用于半导体工业级应用的膜厚量测设备以及光学关键尺寸量测系统,已经取得国内一线客户的批量重复订单;电子显微镜相关设备预计在 2020 年年底前推向市场,其余储备的产品目前正处于研发、认证以及扩展的过程中。关于上海精测半导体技术有限公司上海精测半导体技术有限公司成立于2018年7月,主要从事以半导体测试设备为主的研发、生产和销售,同时也开发一部分显示和新能源领域的检测设备。上海精测半导体技术有限公司通过自主构建研发团队及海外并购引入国产化等手段,实现半导体测试、制程设备的技术突破及产业化,快速做大做强;并倚靠母公司精测电子在平板显示检测领域已经在国内市场取得领先的市场地位,提高相关专用设备产品在集成电路市场的竞争力,旨在将公司打造成为全球领先的半导体测试设备供应商及服务商。------------------------------------拓延:关于举办首届中国电镜产业化发展论坛的通知一、会议时间4月23日下午13:30-17:00(ACCSI 2021召开同期)ACCSI 2021大会官网:https://www.instrument.com.cn/accsi/2021二、会议地点江苏无锡融创万达文华酒店三、组织单位主办单位:中国电子显微镜学会 仪器信息网四、会议形式定向邀请、圆桌会议、半开放形式五、会议主题主题:首届中国电镜产业化发展论坛内容:围绕“当前环境下,中国电镜产业化如何快速发展”,议题内容主要涵盖以下三部分内容:1)产业化/创业历程,2)发展现状,3)发展痛点及发展建议/倡议/合作机会等六、 目标参会人群及规模政府及协会学会领导,电镜业界专家/学者、实验室主任、技术/研发负责人;电镜企业及周边企业董事长、总经理、总工、市场总监、研发总监等,规模约80人。   七、会议议程(拟定,以年会官网最终信息为准)ACCSI2021分论坛:首届中国电镜产业化发展论坛议程安排(4月23日下午)主持人:中国电子显微镜学会 理事长 韩晓东中国科学院电工研究所 副所长 韩立议题内容分享人致辞中国电子显微镜学会 理事长 韩晓东开篇报告:中国电子显微镜产业发展现状及展望中国科学院电工研究所 副所长 韩立议题发言:中科科仪电镜产业化历程北京中科科仪股份有限公司 电镜事业部总经理 孟祥良议题发言:透射电镜原位系统产业化进展介绍百实创(北京)科技有限公司 总经理 李海鑫开放讨论:国产电镜产业化现状?处于什么阶段?有哪些痛点?… … 全体邀请嘉宾仪器信息网专家委电镜专业组成立仪式、颁发专家聘书仪器信息网高层议题发言:与中国科学家的产业化合作(拟)待定议题发言:高通量扫描电镜产业化与展望聚束科技(北京)有限公司 总经理 何伟开放讨论:哪些先进经验?如何取长补短?… … 全体邀请嘉宾议题发言:高时空分辨TEM研发及新技术发展中国科学院物理研究所 研究员 李建奇议题发言:如何让国产电镜更好地赋能各行各业?国仪量子(合肥)技术有限公司 营销中心副总经理 付永强开放讨论:成果转化、产业化?合作机会?… … 全体邀请嘉宾小结主持人晚宴八、联系方式首届中国电镜产业化发展论坛:杨编辑,15311451191,yanglz@instrument.com.cnACCSI 2021大会: 杜老师, 13671073756 李老师, 15611023645附:关于2021第十五届中国科学仪器发展年会(ACCSI2021)2021第十五届中国科学仪器发展年会(ACCSI2021)将于2021年4月21-23日在无锡市召开。ACCSI定位为科学仪器行业高级别产业峰会,经过14年的发展,单届参会人数已突破1000人,被业界誉为科学仪器行业的“达沃斯论坛”。ACCSI2021以“创新发展,产业共进”为主题,力求对过去一年中国科学仪器产业最新进展进行较为全面的总结,力争把最新的产业发展政策、最前沿的行业市场信息、最新的技术发展趋势、最新的科学仪器研发成果等在最短的时间内呈现给各位参会代表。会议期间将颁发 “年度优秀新品”、 “年度绿色仪器”、“年度行业领军企业”、“年度十大第三方检测机构”、“年度售后服务厂商”、“年度网络营销奖”“年度人物”等多项行业大奖,引领科学仪器产业方向。会议日程(拟定,以年会官网最终信息为准)时间日程会议内容4月21日9:00-20:00参会注册14:00-17:00第三届仪器CMO圆桌峰会4月22日9:00-12:00大会特邀报告13:30-15:30i100峰会:中国科学仪器发展高峰论坛16:00-18:00仪器及检测风云榜颁奖盛典4月23日分论坛9:00-17:00第六届中国质谱产业化发展论坛第五届检验检测产业峰会9:00-12:00实验室智能化论坛量子精密测量产业化发展论坛生命科学仪器发展与精准医疗产业对接圆桌论坛石墨烯检测与标准发展论坛科学仪器及检测人才发展论坛13:30-17:00生命科学仪器创新成果转化圆桌论坛环境监测热点技术及市场论坛首届中国电镜产业化发展论坛中药分析与质量控制创新发展论坛近红外光谱产业化发展论坛贵金属及珠宝检测技术发展论坛参会咨询报告及参会报名:010-51654077-8124 13671073756 杜老师 15611023645李老师赞助及媒体合作:010-51654077-8015 13552834693魏老师微信添加accsi1或发邮件至accsi@instrument.com.cn (注明单位、姓名、手机)咨询报名。报名链接:https://insevent.instrument.com.cn/t/mK报名二维码扫描二维码立即报名
  • 国产破局现曙光:半导体专用电镜CD-SEM市场与企业盘点
    CD-SEM概述在过去的半个多世纪,集成电路产业依照摩尔定律不断向更小的特征尺寸(critical dimension,CD)发展,这就使得集成电路的生产需要更严格的制造环境、更先进的制造工艺以及更少的制造缺陷,因此,集成电路晶圆(wafer)关键层图案图像线宽尺寸测量和缺陷检查越来越成为现代半导体产业的关键问题。半导体工艺技术的进步往往表现在器件关键尺寸的减小,栅宽决定了沟道长度,进而影响器件的反应速度。关键尺寸即栅极线条宽度,通常是指我们所说的“线宽”,任何经过光刻后的光刻胶线条宽度或刻蚀后栅极线条宽度与设计尺寸的偏离都会直接影响最终器件的性能、成品率及可靠性,所以先进的工艺控制都需要对线条宽度进行在线测量。关键尺寸测量需要精度和准确性优于2nm的测量仪器,能够获得这种测量水平的仪器是扫描电子显微镜。此类扫描电镜被称为关键尺寸扫描电子显微镜(Critical Dimension Scanning Electron Microscopy,即CD-SEM),是晶圆厂的主要计量工具。虽然两者在技术原理上有很多相似之处,但由于不同的应用侧重点,CD-SEM和普通SEM在实际的配置和使用中存在较大区别。与通用 SEM 相比,CD-SEM 有三大特点:1、CD-SEM照射样品的一次电子束能量较低,为1keV或以下。这是由于光刻胶或其他微结构很脆弱,降低CD-SEM电子束的能量可以减少电子束照射对样品的损伤,这样有利于晶圆进行下一步工序。2、CD-SEM注重于高精度和高速度的尺寸测量,通常配备有专门设计的电子枪、透镜和检测器,以实现在高吞吐率和高重复性下获得精确的线宽测量。CD-SEM 的测量重复性约为测量宽度的 1% 3σ。3、CD-SEM与SEM的自动化操作步骤:将样品晶圆放入晶圆盒内,然后将晶圆盒放置在 CD-SEM/SEM 上。预先将尺寸测量的条件和程序输入到配方(recipe)中。当测量过程开始时,CD-SEM /SEM会自动从盒中取出样品晶圆,将其加载到 CD-SEM /SEM中并测量样品上所需的位置。测量完成后,晶圆将返回到晶圆盒中。普通的SEM也可以执行自动化操作,但与CD-SEM相比,其自动化能力不如CD-SEM那么精细和高效。CD-SEM通常在半导体制程控制中需要执行大量的重复测量,因此具有较强的自动化能力。而普通SEM则可能更注重于图像的质量和分辨率。当然CD-SEM通常还包含一套完整的数据分析和报告生成工具,可以自动分析测量数据、生成统计报告并等。市场概况根据VLSI Research的统计,2020 年半导体检测和量测设备市场各类设备占比如下表所示,其中,检测设备占比为 62.6%,包括无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、掩膜检测设备等;量测设备占比为 33.5%,包括三维形貌量测设备、薄膜膜厚量测设备(晶圆介质薄膜量测设备)、套刻精度量测设备、关键尺寸量测设备、掩膜量测设备等,具体情况如下:数据显示,电子束关键尺寸量测设备全球销售额约6.2亿美元,占半导体量检测设备总销售额的8.1%。目前,全球半导体检测和量测设备市场也呈现国外设备企业垄断的格局,CD-SEM也不例外。目前主要CD-SEM厂商是日立高新,国内主要厂商包括上海精测和东方晶源。CD-SEM当前市场主流产品型号包括 AMAT 的 VeritySEM 系列和 PROVision 系列,以及日立高科的 SEM 系列;国产突破上看,东方晶源面向 8 吋产线的首台 CD-SEM 设备 SEpA-C300 系列已于 2022年4月出货给燕东微,面向 12 吋产线的首台设备 已于 2021 年 7 月出机中芯国际。CD-SEM国外主流企业及进展1、日立高科自 1984 年推出第一台 CD-SEM 以来,日立一直遵循基于 SEM 图像的关键尺寸测量方法,30 多年来一直不断发展并保持出色的测量可重复性。在保持与半导体微纳加工趋势兼容的高分辨率的同时,日立提供强大的CD-SEM,以展示高可用性,并结合制造和开发线所需的各种新功能,以满足客户的需求。随着半导体器件制造工艺的发展,N2(2 nm制程节点)和A14(14 埃制程节点)的研发正在进行中。除了在最先进的器件中应用高数值孔径 EUV光刻之外,器件结构的复杂性预计还会增加,例如 GAA 和 CFET 结构。因此,在尖端半导体器件工艺开发中,在研究阶段和量产阶段,对在各种测量条件下进行高速数据采集以测量各种材料和结构、稳定运行以及进一步提高工具间匹配的需求正在增加。2023年12月12日,日立高新技术宣布推出GT 2000高精度电子束计量系统。GT2000高精度电子束测量系统GT2000配备了尖端3D半导体器件的新型检测系统。它还利用低损伤高速多点测量功能实现高数值孔径EUV光刻胶晶圆成像,以最大限度地减少光刻胶损坏并提高批量生产中的良率。日立GT2000 CD-SEM将在日益小型化和复杂化的先进半导体器件的制造过程中实现高精度、高速的测量和检测,并为提高客户在研发和量产方面的良率做出贡献。GT2000有三大关键技术:1. 100V超低加速电压和超高速多点测量功能,适用于高数值孔径EUV工艺在高数值孔径EUV光刻工艺中,使用的光刻胶更薄,因此,为了高精度测量,计量工具必须尽可能少地对光刻胶造成损坏。GT2000将开创性的100V超低加速电压与专有的高速扫描功能相结合,实现了低损伤和高精度测量。此外,它还配备了超高速多点测量模式,可快速确定制造工艺条件,检测研发阶段的异常情况。2. 3D器件结构高灵敏度检测系统除了传统的 CD 测量外,具有 GAA、CFET 和 3D 存储器等结构的 3D 设备还需要测量图案的深度、孔和沟槽的底部。GT2000配备了新的高灵敏度检测系统,可有效检测背散射电子,从而能够对日益复杂的器件结构进行高精度成像,并扩展了新测量应用的可能性。3. 改善工具间匹配的新平台和新电子光学系统负责过程监控的CD-SEM最重要的性能要求之一是多个工具之间的测量值差异很小。GT2000 新平台和电子光学系统经过重新设计,消除了导致测量值差异的任何因素,从而改善了工具之间的匹配。2、应用材料(AMAT) 应用材料是世界上最大的半导体设备厂之一,多年稳居半导体设备市场榜首。1997年,为了进入集成电路生产过程检测和监控设备市场,应用材料先后分别以1.75亿美元和1.1亿美元收购两家以色列公司Opal Technologie和Orbot Instruments。其中,Opal是一家领先的半导体CD-SEM系统供应商。由此应用材料成功打入CD-SEM市场。今年2月28日,2月28日,应用材料公司推出了一款新型电子束测量系统,专门设计用于精确测量采用EUV和新兴高数值孔径EUV光刻技术的半导体器件特征的关键尺寸。随着光刻胶在EUV中变得更薄,尤其是高数值孔径EUV,测量半导体器件特征的关键尺寸变得更具挑战性。为了捕获提供精确亚纳米测量的高分辨率图像,CD-SEM必须能够将窄电子束精确地施加到极薄光刻胶占据的小区域。电子束能量与光刻胶相互作用,如果着陆能量过高,抗蚀剂会收缩,扭曲图案并产生误差。传统的CD-SEM不能产生足够窄的光束,以足够低的着陆能量创建高分辨率图像,以尽量减少与精致的高数值孔径光刻胶的相互作用。应用材料公司的新型VeritySEM 10系统具有独特的架构,与传统的CD-SEM相比,能够以2倍的分辨率实现低着陆能量。它还提供更快30%的扫描速率,以进一步减少与光刻胶的相互作用并提高通量。该系统具有行业领先的分辨率和扫描速率,可改进对EUV和高数值孔径EUV光刻和蚀刻工艺的控制,帮助芯片制造商加快工艺开发并最大限度地提高大批量生产的良率。VeritySEM 10系统也被芯片制造商用于3D设计中的关键尺寸计量应用,包括Gate-All-Around(GAA)逻辑晶体管和3D NAND存储器,其中系统的背向散射电子能够对深层结构进行高分辨率成像。在GAA芯片的应用中,VeritySEM 10用于测量和表征选择性外延过程,这是晶体管性能的关键。对于3D NAND存储器,该系统提供大视野和高焦深,以测量整个楼梯互连结构并帮助调整蚀刻工艺配方。3、KLA KLA(中文科磊),1976年成立于美国加州硅谷,是全球领先的半导体检测设备供应商,为半导体制造及相关行业提供产能管理和制程控制解决方案。目前KLA的量检测产品主要集中于光学检测,其电子束检测多为缺陷检测产品。不过KLA也曾推出过CD-SEM的量测产品,比如曾在1999年推出了 8100XP-R,这是一款临界尺寸扫描电子显微镜 (CD-SEM),专为涉及低 k1 光刻和 0.18 微米设计规则的半导体计量而设计。该工具设计用于测量掩模版和晶圆,而无需任何硬件或软件转换。今年美国国家标准与技术研究院 (NIST)联合KLA 的研究人员共同开发电子束倾斜测量技术,以提升芯片制造SEM测量水平。当电子束通过SEM时,它会受到精细控制。电子束与理想路径的轻微偏差或电子束撞击芯片表面的角度的微小错位都会使生成的 SEM 图像失真并歪曲器件的结构。NIST和KLA通过考虑电子束的这些角度错位,提高了SEM的精度。该联合研究项目测量光束倾斜的精度小于一毫弧度,即百分之五度,这需要在角分辨率和测量验证方面取得进步。4、TCKTCK株式会社自2005年成立以来,为半导体行业开发并销售了许多独特的半导体相关设备,其基础技术包括精密载物台、真空控制技术、电子束控制和图像处理技术等。因此,在2014年,开发并销售了世界上最小水平的高分辨率和超高真空SEM,并收到了来自各个方面的大量反馈。 近年来,在半导体领域开发的精密技术得到应用。TCK的Minimal CD-SEM专门用于电子显微镜中晶圆图案的尺寸测量。该设备的特点是可移动的尺寸和重量(纵深459mm,高1440mm,宽297mm,120kg),在工厂实现高分辨率/超高真空,同时能够在AC 100V的电源中工作。为了本仪器的便携性,安装了各种网络连接方式,例如通过USB / LAN电缆连接PC和通过IR云互联网连接红外互联网。5、HOLONHOLON是一家基于电子束的检测和测量工具的制造商,产品主要用于半导体制造所需的光掩模和晶圆。用于光掩模的CD-SEM是HOLON的旗舰产品,在半导体制造工艺中使用的光掩模制造工艺中发挥着不可或缺的作用。光掩模制造工艺所需的工具主要分为两种类型。一种是电子束直写(图案成型)系统,另一种是检查(或修复)系统。HOLON提供电子束检测系统。公司主要的CD-SEM产品是ZX系列,其中ZX-D是用于传统工艺节点的光掩模CD-SEM。ZX-D 和 ZX 建立在相同的技术平台上,ZX-D 可以在需要时升级到完整的 ZX 配置。ZXCD-SEM 将 HOLON 在掩模计量方面的技术领先地位扩展到 10nm 以下节点的最先进器件。HOLON行业领先的像差校正和电荷缓解技术已经过改进和实施,以满足测量和成像前沿半导体光掩模的所有要求。ZX 已交付给全球多家半导体制造商、代工厂和光掩模车间,并在其中使用。该产品可以在纳米尺度上高速、高精度地测量图案;改进了像差校正,以获得更清晰的图像和更高的信噪比;通过低真空技术实现电荷减轻的高质量图像;集成应用,包括多点 CD 测量、轮廓提取、新型 2D 测量、方法、缺陷检查和“鸟瞰”(3D) 视图;适用于各种基材,包括EUV掩模、移相掩模、NIL Qz模具、PET薄膜模具和DSA薄膜。6、ADVANTESTAdvantest (ADVANTEST CORPORATION)是一家日本半导体设备公司,专门提供广泛的半导体设备测试解决方案。该公司成立于1954年,现已成为半导体行业的领先企业之一。随着多重曝光技术的进步,更细间距和更复杂电路的发展,以及光罩数量和各光罩测量点数量的增加,需要对光罩上形成的布线图案尺寸进行稳定的高精度测量和评估。爱德万E3600系列产品满足先进设备的需求,具有高测量可重复性和稳定产能。E3650是采用爱德万专有电子束扫描技术的新系统,以更高的精度和稳定性测量光罩上的精细图案尺寸。E3650是公司E3600系列的最新产品,广受光罩SEM市场的青睐。与现有型号E3640相比,其测量产量增加了一倍。E3650能够大规模测量更加复杂的图案以及因多重图案而增加的光罩数量。除了前沿光罩技术外,新系统在测量EUV光罩和纳米压印应用主模板时也显示出卓越的性能。CD-SEM国内企业及进展1、东方晶源东方晶源微电子科技(北京)股份有限公司成立于2014年,总部位于北京亦庄经济技术开发区,是一家专注于集成电路良率管理的企业。东方晶源推出的12英寸、6/8英寸关键尺寸量测设备CD-SEM均已进入产线量产多时,可支持Line/Space, Hole/Elliptic,LER/LWR等多种量测场景、满足多种成像需求。据了解,该公司CD-SEM此前已向中芯国际、燕东微完成交付。目前公司CD-SEM最新型号为SEpA-c300和SEpA-c400,分别针对8寸和12寸晶圆产品。2023年9月5日,证监会发布了关于东方晶源微电子科技(北京)股份有限公司首次公开发行股票并在科创板上市辅导备案报告,辅导机构为中信建投证券股份有限公司。2、上海精测上海精测半导体技术有限公司成立于2018年7月,主要从事以半导体量检测设备为主的研发、生产和销售,同时也开发一部分显示和新能源领域的检测设备。2021 年,上海精测完成了首台 CD-SEM 项目的订单交付。2022年12月底,精测半导体宣布首台CD-SEM设备(eMetric)已顺利发货华南客户。eMetric是上海精测半导体本土研发团队打破国外公司的垄断、依靠自身力量全新自主研发的电子束晶圆关键尺寸量测设备(CD-SEM),具有核心零部件的全部自主知识产权。该设备可实现高深宽比特征量测和overlay测量,且可满足6/8/12英寸晶圆从微米小视场到百微米级大视场的截然不同的量测需求。3、苏州矽视苏州矽视科技有限公司于2021年6月成立,专注于高端半导体晶圆量检测设备的研发、生产和服务,致力于自主研发具有完全自主知识产权、满足行业需求的国产电子束成像量检测设备。矽视科技在短短两年多时间里,已经推出CDSEM等多种类型设备,并获得苏州市姑苏领军人才、相城区独角兽企业、相城区重点创业团队多项荣誉。其中,CDSEM(关键尺寸量测设备)主要是通过对于关键尺寸的采样测量,实现对IC制造过程中,光刻工艺后所形成图形尺寸进行监控,以确保良率。该设备对标业内主流量产产品,并研发特有的荷电控制方法和位置偏差的动态补偿方法,在分辨率、视场、采样频率、定位精度和吞吐率等均可满足市场要求。2023年12月14日,苏州矽视科技有限公司首台关键尺寸量测设备(CDSEM)出机。苏州矽视科技表示,该设备为苏州矽视科技自主研发,其中最重要的电子光学系统具有自主的核心技术,完全摆脱进口,主要性能指标媲美国外同类产品,为国产替代再添新军。4、青田恒韧青田恒韧成立于2022年,是由CD-SEM领域资深人士创立的CD-SEM设备公司,研发中心位于北京市丰台区,公司主要专注于CD-SEM设备研发、设计与销售,核心团队在CD-SEM领域具有丰富的研发设计和经营管理经验。据悉,CD-SEM设备研发难度大技术门槛高,青田恒韧研发团队经过多年在底层物理、数学、材料、软件、工艺等上的深入研究,后续更是耗时近两年时间在阿里云服务器上的仿真CD-SEM设备跑数据,最终取得突破性进展。今年8月,青田恒韧完成Pre-A轮融资,由方富创投、明德投资共同投资;天使轮融资机构为北京中关村协同创新基金。本轮融资将主要用于研发CD-SEM设备,目标是研制并生产能够完全替代Hitachi CG5000的CD-SEM产品。整体来看,国际龙头企业在技术和市场上都处于主导地位,国产替代难度大。而国产企业普遍成立研发相关设备较晚,人才团队也多来自海外归国技术人员,出货的产品不多,大部分仍处于早期研发阶段,但国产破局曙光初现。
  • 15家!2022年国产半导体设备商IPO情况盘点
    半导体设备是半导体产业的基石,也是国内半导体产业最为薄弱的环节之一。随着集成电路产业,特别是新型芯片和先进工艺的产能扩张为半导体设备行业带来了广阔的市场空间。值此半导体产业爆发之际,国产半导体设备商开启IPO之路,以期募集资金提升技术实力并扩张产能。近日,仪器信息网对公开信息进行梳理,统计了2022年半导体设备企业的IPO情况,以飨读者。(统计数据可能不全,欢迎联系补充,邮箱:kangpc@instrument.com.cn)。由于上半年已盘点过部分企业的上市情况,对于未发生情况变更的企业,可直接查看链接:2022上半年国产半导体设备商IPO情况盘点市值130亿元,微导纳米登陆科创板2022年3月3日,江苏微导纳米科技股份有限公司(以下简称:微导纳米)再度闯关科创版。微导纳米此前两次冲刺A股上市均无疾而终,此后更换了辅导机构。微导纳米掌握ALD核心技术,此前面临关联交易质疑,以及专利纷争。撤回IPO申请一年之后,微导纳米整装再出发,以全新股东阵容冲刺科创板,25名股东中不仅包含11家私募基金股东,其中更不乏君联资本、高瓴投资等明星机构的身影。拟募资规模也提高了1倍,由前次的5亿元调至10亿元,主要用于基于原子层沉积技术的光伏及柔性电子设备扩产升级、集成电路高端装备产业化应用中心等项目和补充流动资金。其中,补充流动资金预计金额为1.5亿元。2022年12月23日, 微导纳米正式以“688147”为股票代码在科创板挂牌上市。截至当日10:40,微导纳米报于每股28.55元,较发行价上涨17.93%,市值超129.62亿元。资料显示,微导纳米成立于2015年12月25日,主要从事先进微、纳米级薄膜沉积设备的研发、生产和销售, 向下游客户提供先进薄膜沉积设备与相关改造服务及备品备件。拓荆科技正式登陆科创板详情请查看:2022上半年国产半导体设备商IPO情况盘点中科飞测赴科创板IPO详情请查看:2022上半年国产半导体设备商IPO情况盘点华海清科登陆科创板详情请查看:2022上半年国产半导体设备商IPO情况盘点联动科技成功登陆创业板9月22日,联动科技(301369)成功登陆创业板,公司此次募集资金将用于投入半导体封装测试设备产业化扩产建设项目、半导体封装测试设备研发中心建设项目、营销服务网络建设项目、补充营运资金等,项目落地后将进一步扩充半导体自动化测试系统的产能、提升公司研发实力和核心技术产业化能力并提升全球销售网络的覆盖。联动科技成立于1998年,专注于半导体行业后道封装测试领域专用设备的研发、生产和销售,主要产品包括半导体自动化测试系统、激光打标设备及其他机电一体化设备,由于所处行业为技术密集型,公司自成立之初就将自主研发和科技创新作为企业发展的核心竞争力,将行业前沿的技术与创新思维相结合,力图不断实现半导体专用设备相关产品及技术的革新。联动科技作为国内少数能够提供全自主研发配套半导体自动化测试系统的设备供应商以及国内测试能力和测试功能模块覆盖面最广的半导体分立器件测试系统供应商之一,其近年来快速发展,招股书显示,联动科技目前在国内半导体分立器件测试系统市场占有率在20%以上,在模拟及数模混合集成电路测试领域的市场开拓情况良好,2019年-2021年营业收入分别为1.48亿元、2.02亿元、3.44亿元,实现净利润分别为3174.01万元、6076.28万元、1.28亿元,保持较快增长。恒普科创板IPO被终止8月25日晚间,上交所官网显示,宁波恒普真空科技股份有限公司(以下简称“恒普科技”)科创板IPO终止。上交所表示,由于恒普科技撤回了其发行上市申请,保荐人方正证券承销保荐有限责任公司撤销保荐。根据《上海证券交易所科创板股票发行上市审核规则》第六十七条规定,上交所终止其发行上市审核。恒普曾计划募资3.52亿元,其中,1.82亿元用于宽禁带半导体及金属粉末材料用高端热工装备扩产项目,1亿元用于研发中心建设项目,7000万用于补充流动资金。恒普是中国主要烧结炉制造厂商之一,其在金属粉末注射成形(MetalInjectionMolding)用烧结炉有优势,恒普除MIM用烧结炉外,硬质合金、热处理、非氧化陶瓷、增材制造(AM)、晶体生长、半导体、实验室等行业用炉或设备,具有丰富的设计及制造经验。招股书显示,恒普2018年、2019年、2020年营收分别为9044万元、1.85亿元、2.15亿元;净利分别为1045.97万元、2747.8万元、3176万元。晶升装备冲刺科创板上市11月11日,南京晶升装备股份有限公司(以下简称“”)发布首次公开发行股票并在科创板上市招股说明书(注册稿)。本次冲刺科创板上市,晶升装备计划募资4.76亿元。其中,2.73亿元用于总部生产及研发中心建设项目,2.02亿元用于半导体晶体生长设备总装测试厂区建设项目,实施主体分别为晶升装备、晶升半导体。晶升装备是一家成立于2012年2月的半导体专用设备供应商,专业从事8-12英寸半导体级硅单晶炉、6-8英寸碳化硅、砷化镓等半导体材料长晶设备及工艺开发的企业。公司产品主要包括半导体级单晶硅炉、碳化硅单晶炉和蓝宝石单晶炉等定制化的晶体生长设备。截至招股书签署日,晶升装备享有已授权国内专利76项,其中发明专利27项。晶升装备表示,该公司承担了“江苏省科技项目—12英寸半导体硅单晶炉研发高端装备研制赶超工程项目—12英寸半导体硅单晶炉”等项目。矽电股份已回复第二轮审核问询函矽电半导体设备(深圳)股份有限公司于12月13日更新上市申请审核动态,该公司已回复第二轮审核问询函,回复的问题主要有,关于创业板定位,关于客户关联方入股,关于房产租赁等。据悉,矽电股份主要从事半导体专用设备的研发、生产和销售,专注于半导体探针测试技术领域,系境内领先的探针测试技术系列设备制造企业。探针测试技术主要应用于半导体制造晶圆检测(CP, Circuit Probing)环节,也应用于设计验证和成品测试(FT, Final Test)环节,是检测芯片性能与缺陷,保证芯片测试准确性,提高芯片测试效率的关键技术。公司自主研发了多种类型应用探针测试技术的半导体设备,产品已广泛应用于集成电路、光电芯片、分立器件、第三代化合物半导体等半导体产品制造领域。公司已成为中国大陆规模最大的探针台设备制造企业。矽电股份创业板上市计划发行不超过 1043.1819 万股,计划募资约5.56亿元。募投项目为“探针台研发及产业基地建设项目”、“分选机技术研发项目”、“营销服务网络升级建设项目”、补充流动资金。精智达科创板IPO成功过会11月16日,据科创板上市委2022年第89次审议会议结果显示,深圳精智达技术股份有限公司(简称:精智达)科创板IPO成功过会。据了解,精智达是检测设备与系统解决方案提供商,主要从事新型显示器件检测设备的研发、生产和销售业务,产品广泛应用于以 AMOLED 为代表的新型显示器件制造中光学特性、显示缺陷、电学特性等功能检测及校准修复,并逐步向半导体存储器件测试设备领域延伸发展。精智达此次募集资金6亿元,其中,1.98亿元用于新一代显示器件检测设备研发项目;1.62亿元用于新一代半导体存储器件测试设备研发项目;补充流动资金2.40亿元。卓海科技已回复第二轮审核问询函无锡卓海科技股份有限公司于12月12日更新上市申请审核动态,该公司已回复第二轮审核问询函,回复的问题主要有,关于创业板定位及核心技术,关于历史沿革,关于员工持股平台等。卓海科技拟在深交所创业板上市募资5.47亿元,其中,1.04亿元用于半导体前道量检测设备扩产项目,1.84亿元用于研发中心建设项目,2.6亿元用于补充流动资金。卓海科技成立于2009年,十多年来始终专注于半导体前道检测与量测设备领域的研发、制造、修理、技术服务等,为客户提供检测与量测设备领域全方位、整体化的解决方案,从前期的选型,到后期的设备维护、备件维修等等,可以满足客户对各种特殊材质晶圆以及保障良率的量测需求。耐科装备成功登陆科创板11月7日,耐科装备正式登陆上交所科创板。公开资料显示,耐科装备成立于2005年10月,主要从事应用于塑料挤出成型及半导体封装领域的智能制造装备的研发、生产和销售,为客户提供定制化的智能制造装备及系统解决方案。具体产品为塑料挤出成型模具、挤出成型装置及下游设备、半导体封装设备及模具。其中,主营业务之一的塑料挤出成型模具、挤出成型装置及下游设备以外销为主。耐科装备凭借独到的设计理念、成熟的工艺技术、过硬的产品质量、丰富的调试经验和完善的售后服务,成功将塑料挤出成型模具、挤出成型装置及下游设备远销全球40多个国家,服务于德国Profine GmbH、德国Aluplast GmbH、美国 Eastern Wholesale Fence LLC、德国Rehau Group、比利时Deceuninck NV等众多全球塑料门窗著名品牌,出口规模连续多年位居我国同类产品首位。根据招股书,耐科装备本次拟发行2050万股,募集资金约7.76亿元,用于高端塑料型材挤出装备升级扩产项目、半导体封装装备新建项目、先进封装设备研发中心项目以及补充流动资金。金海通主板IPO获通过11月10日,据中国证监会第十八届发审委2022年第126次会议审核结果显示,天津金海通半导体设备股份有限公司(简称:金海通)主板IPO获通过。金海通是一家从事研发、生产并销售半导体芯片测试设备的高新技术企业,属于集成电路和高端装备制造产业,公司深耕集成电路测试分选机(Test handler)领域,主要产品测试分选机销往中国大陆、中国台湾、欧美、东南亚等全球市场。目前,金海通的客户涵盖安靠、联合科技、嘉盛、南茂科技、长电科技、通富微电、益纳利、环旭电子、甬硅电子、欣铨科技等国内外知名封测企业,博通、瑞萨科技等知名IDM企业,兴唐通信、澜起科技、艾为电子、英菲公司、芯科科技等国内外知名芯片设计及信息通讯公司,以及国内知名研究院校和机构。此次IPO,金海通计划拟募资不超过7.46亿元,其中4.36亿元用于半导体测试设备智能制造及创新研发中心一期项目,值得注意的是,另外还有1.10亿元用于年产1000台半导体测试分选机机械零部件及组件项目。大族激光分拆第二家子公司(大族封测)冲刺IPO9月28日,大族激光旗下深圳市大族封测科技股份有限公司(以下简称“大族封测”)向深交所提交《首次公开发行股票并在创业板上市招股说明书(申报稿)》获受理,拟在深交所创业板上市。大族封测原名大族光电,于2007年由大族数控和国冶星共同出资成立。成立之初,大族光电主要产品集中于LED封装环节的固晶机、焊线机、分光机及编带机,经过15年的发展,已经开启国产焊线机在半导体和泛半导体市场的品类全替代和全面布局,设备保有量已过万台。公司本次公开发行新股不超过4022.20万股,占本次发行后公司总股本的比例不低于10%,原股东不公开发售老股,本次募集资金用于项目及拟投入的募资金额为:高速高精度焊线机扩产项目,拟使用募集资金金额约1.51亿元;研发中心扩建项目,拟使用募集资金金额约1.1亿元。汇成真空创业板首发过会2022年12月22日,创业板上市委举行了2022年第87次审议会议,广东汇成真空科技股份有限公司(简称“汇成真空”),成功过会。汇成真空是一家以真空镀膜设备研发、生产、销售及其技术服务为主的真空应用解决方案供应商,主要产品或服务为真空镀膜设备以及配套的工艺服务支持。经过多年技术发展和经验积累,公司具备了完整的真空镀膜设备研发、制造能力以及镀膜工艺开发能力,可为不同行业客户提供定制化、专业化的真空镀膜设备及其工艺解决方案。2021年8月,公司被授予第三批“专精特新‘小巨人’企业”称号。公司此次欲募集2.35亿元,其中1亿元用于研发生产基地项目,7500万元用于真空镀膜研发中心项目,6000万用于补充流动资金。京仪装备冲刺科创板IPO,拟募资超9亿元2022年12月8日,北京京仪自动化装备技术股份有限公司(下称“京仪装备”)冲刺科创板IPO获上交所受理,本次拟募资9.06亿元。公司主要从事半导体专用设备的研发、生产和销售,主营产品包括半导体专用温控设备(Chiller)、半导体专用工艺废气处理设备(LocalScrubber)和晶圆传片设备(Sorter)。公司自成立以来,主营业务未发生重大变化。截至2022年9月30日,京仪装备已获专利173项,其中发明专利56项。京仪装备在招股书中称,该公司是目前国内唯一一家实现先进制程半导体专用温控设备大规模装机应用的设备制造商。本次拟募资用于集成电路制造专用高精密控制装备研发生产(安徽)基地项目、补充流动资金,主要募投项目分别是集成电路制造专用高精密控制装备研发生产(安徽)基地项目、补充流动资金。
  • 和研科技拟3.15亿投建半导体精密设备生产基地
    12月30日,国内半导体专用设备研发制造领军企业——沈阳和研科技股份有限公司与沈北新区成功签约,企业计划投资3.15亿,在沈北兴建半导体产业项目。此次签约,标志着沈北新区在半导体产业发展上迈出突破一步!新项目,新期待12月30日,沈阳和研科技股份有限公司与沈北新区(辉山经开区)正式签约。企业计划投资3.15亿,拟建设占地95亩的半导体精密设备生产基地项目,项目达产后,预计第一年实现产值5亿元,三年实现产值10亿元。沈北新区区委书记、辉山经开区党工委书记吴军,沈阳和研科技有限公司董事长袁慧珠等政企双方相关负责人出席了签约仪式。新产业,新亮点沈阳和研科技股份有限公司成立于2011年, 目前正在筹备上市,是一家专业从事半导体专用设备及配件耗材的研发、生产、销售、咨询、服务于一体的多元化公司,也是国内半导体行业的领军企业。公司主营业务划片机及其相关半导体封装设备等在行业排名国产设备第一;在国内半导体精密划切细分领域市场占有率第一;和研制造的划片机全国市场销量、品牌效应、技术、售后服务排名第一。公司合作伙伴包含比亚迪、中国电科、阿里巴巴、华为、腾讯、晶导微电子等一众知名企业。特别值得一提的是,和研科技作为辽宁省集成电路封测领域优势企业,曾研发出辽宁省首台12英寸高精度全自动精密划片机,实现了对国外垄断产品的国产化替代,推动了精密磨划设备的国产化进程。该公司此次与沈北签约的项目,主要建设半导体设备生产车间、研发楼、实验室、办公用房及配套设施等。项目建成后,将成为沈北新区打造半导体产业的全新引擎,未来将为沈北半导体等战略性新兴产业发展起到重要作用,更将为全市全省半导体行业贡献新的经济增长点。
  • 御微半导体:首台掩模基板缺陷检测产品交付国内先进掩模厂
    5月12日,御微首台掩模基板缺陷检测产品Halo-100在御微合肥成功发运,并顺利交付国内先进掩模厂。御微半导体官方消息显示,其Halo-100设备是御微“掩模全生命周期质量控制”产品线的第二款产品,以高精度光学系统、高稳定性运动台系统以及高洁净度环控与传输系统为基础,结合御微半导体专有的算法和软件系统,实现了针对掩模基板(blank)缺陷检测的需求,并将掩模检测的应用领域拓展至掩模厂来料检和掩模基板厂全制程控制检。据介绍,在掩模基板厂中,Halo-100设备可以运用在玻璃基板来料检、多层镀膜过程检和成品出货检等环节,助力客户在每个制程节点监测洁净度情况。
  • 16家!2023年国产半导体设备商IPO情况盘点
    半导体设备是半导体产业的基石,也是国内半导体产业最为薄弱的环节之一。随着集成电路产业,特别是新型芯片和先进工艺的产能扩张为半导体设备行业带来了广阔的市场空间。值此半导体产业爆发之际,国产半导体设备商开启IPO之路,以期募集资金提升技术实力并扩张产能。近日,仪器信息网对公开信息进行梳理,统计了2023年半导体设备企业的IPO情况,以飨读者。(统计数据可能不全,欢迎联系补充,邮箱:kangpc@instrument.com.cn )。东方晶源开启上市辅导,拟科创板IPO9月5日,证监会发布了关于东方晶源微电子科技(北京)股份有限公司(以下简称“东方晶源”)首次公开发行股票并在科创板上市辅导备案报告,辅导机构为中信建投证券股份有限公司。资料显示,东方晶源成立于2014年,聚焦集成电路制造良率管理领域,创立之初即确立了以电子束图像检测、关键尺寸量测和计算光刻技术为主攻方向。经过多年的攻坚克难,东方晶源已交出诸多亮眼成绩单。公司自主研发的计算光刻软件(OPC)、纳米级电子束检测装备(EBI)、12吋和8吋关键尺寸量测装备(CD-SEM)等三款核心产品,填补多项国内市场空白。2022年,东方晶源持续推出多款软件和硬件产品,实现了多款产品的小规模量产和重复订单,迈入高速发展的新阶段。半导体测试设备厂商宏泰科技拟A股IPO 已进行上市辅导1月4日,证监会披露了华泰联合证券关于南京宏泰半导体科技股份有限公司(简称:宏泰科技)首次公开发行股票并上市辅导备案报告。据披露,宏泰科技于2022年12月30日与华泰联合证券签署了《南京宏泰半导体科技股份有限公司与华泰联合证券有限责任公司首次公开发行股票辅导协议》(以下简称“辅导协议”),聘请华泰联合证券作为其首次公开发行股票并上市的辅导机构。资料显示,宏泰科技成立于2018年,是一家专业研发半导体测试设备并提供解决方案的企业,主要从事半导体后道封装、测试设备的研发与生产,产品覆盖了半导体SOC测试设备、模拟测试设备、分立器件和功率器件测试设备、分选设备,并已将SOC测试设备成功开发应用到半导体行业。卓海科技IPO上会被否!创业板IPO被否后,卓海科技再次开启上市辅导2023年1月20日,无锡卓海科技股份有限公司(以下简称 " 卓海科技 ")创业板 IPO 上会被否,该公司是一家半导体前道量检测设备供应商,主要通过对退役设备的精准修复和产线适配来实现其再利用价值。根据深交所官网,卓海科技的创业板定位、上下游渠道稳定性、业绩快速增长合理性与可持续性、存货跌价准备计提充分性等事项被重点关注。作为2023年创业板首家上会被否的企业,卓海科技受到了市场的关注。而就在8月15日,证监会披露了关于无锡卓海科技股份有限公司首次公开发行股票并上市辅导备案报告,其保荐机构为海通证券。卓海科技再次开启上市辅导。据了解,卓海科技作为国内重要的半导体前道量检测设备供应商,主要通过对退役设备的精准修复和产线适配来实现其再利用价值,为客户提供高稳定性、品类丰富的前道量检测修复设备,并通过配件供应及技术服务满足客户全方位需求。国产PECVD装备厂商理想万里晖开启上市辅导!1月28日,据证监会披露,海通证券发布了关于理想万里晖半导体设备(上海)股份有限公司(简称“理想万里晖”)首次公开发行股票并上市辅导备案报告。2023年1月13日,海通证券与理想万里晖签署了上市辅导协议。资料显示,上海理想万里晖薄膜设备有限公司前身是理想能源PECVD事业部,2012年经过拆分重组,于2013年完成注册。2020年,公司完成A+轮融资,并落户临港新片区。全资子公司理想万里晖真空装备(泰兴)有限公司于2017年1月在泰兴高新区成立,拥有22000平方米的厂房,可实现异质结PECVD装备年产能10GW。理想万里晖主营太阳能、泛半导体和半导体高端PECVD装备,系列光伏和AMOLED显示等领域高端PECVD系列产品多次打破国外垄断、填补国内空白,是中国高端PECVD装备的优选供应商。拟募资不超7.46亿元,证监会核发金海通主板IPO批文2月14日,证监会披露了关于核准天津金海通半导体设备股份有限公司(简称:金海通)首次公开发行股票的批复,核准金海通公开发行不超过1500万股新股。资料显示,金海通是一家从事研发、生产并销售半导体芯片测试设备的高新技术企业,属于集成电路和高端装备制造产业,公司深耕集成电路测试分选机(Test handler)领域,主要产品测试分选机销往中国大陆、中国台湾、欧美、东南亚等全球市场。此次IPO,金海通计划拟募资不超过7.46亿元,其中4.36亿元用于半导体测试设备智能制造及创新研发中心一期项目, 1.10亿元用于年产1000台半导体测试分选机机械零部件及组件项目,2亿元用于补充流动资金。3月3日,金海通在上交所上市,发行价为58.58元,发行1500万股,募资总额为8.8亿元。半导体设备厂商和研科技拟A股IPO1月17日,证监会披露了中信建投证券关于沈阳和研科技股份有限公司(简称:和研科技)首次公开发行股票并上市辅导备案报告。资料显示,和研科技成立于2011年,公司以沈阳为中心,在苏州设有华东研发中心(苏州和研精密科技有限公司),和研科技是一家专业从事半导体磨划设备的研发、销售、咨询、服务于一体的多元化公司,专注于硅片、玻璃、陶瓷、石英、铌酸锂、碳化硅、树脂等硬脆材料的精密切割加工。和研科技主营6~12英寸DS系列精密划片机、JS系列全自动切割分选一体机等半导体专用精密切割设备,广泛应用于集成电路、分立器件、光电器件及敏感元件等制造领域。半导体设备厂商上海富创得开启上市辅导2月9日,证监会披露了关于上海大族富创得科技股份有限公司(简称:上海富创得)首次公开发行股票并上市辅导备案报告。据了解,上海富创得是大族激光的控股子公司(子公司美国富创得成立于1979年,于16年被收购进入大族体系),其持股比例为76.50%。上海富创得于2017年3月入驻上海市闵行区大族企业湾园区,项目总投资2亿元,第一期投入5000平方米厂房使用。公司在半导体行业拥有近40年的技术积累和经验传承,在晶圆片传输(SORTER/EFEM)技术、标准机械界面(SMIF)技术、超洁净光罩片/EUV自动化解决方案、无人车(AGV)自动搬运、晶圆级洁净室自动存储系统和RFID物流追踪系统等技术上拥有国内最先进的技术,为国内半导体生产线自动化提供行业内最权威的流体整合方案。美国富创得为上海富创得全资子公司,目前员工总数约20人,专注于“光刻机前端自动化设备”的研发和销售业务,服务对象以欧美半导体市场为主。公司自1979年成立以来,专注半导体晶圆自动化领域超过40年,积累了丰富的行业经验和客户资源,其专利和技术积累已全部转移到上海富创得,使上海富创得公司成为全球化国际公司,业务可以覆盖全球市场及客户。国内最大探针台企业矽电股份IPO成功过会历经近10个月的审核,矽电半导体设备(深圳)股份有限公司(下称“矽电股份”)终于即将在4月13日迎来创业板上市委的关键裁决。矽电股份主要从事半导体专用设备的研发、生产和销售,专注于半导体探针测试技术领域,是国家级专精特新“小巨人”企业。此番IPO,矽电股份拟发行不超过0.10亿股、募集5.56亿元,投向“探针台研发及产业基地建设”、“分选机技术研发”,“营销服务网络升级建设”以及补充流动资金。10月9日,矽电半导体设备(深圳)股份有限公司(以下简称:矽电股份)更新一、二轮问询函。募资18.88亿元!中科飞测成功登陆科创板2023年5月19日,中科飞测(688361.SH)在上交所上市。中科飞测此次发行价为23.6元,发行8000万股,募资总额为18.88亿元。中科飞测是一家国内领先的高端半导体质量控制设备公司,自成立以来始终专注于检测和量测两大类集成电路专用设备的研发、生产和销售,产品主要包括无图形晶圆缺陷检测设备系列、图形晶圆缺陷检测设备系列、三维形貌量测设备系列、薄膜膜厚量测设备系列等产品,已应用于国内28nm及以上制程的集成电路制造产线。本次发行募集资金总额188,800.00万元,用于高端半导体质量控制设备产业化项目、研发中心升级建设项目 、补充流动资金。募资11.25亿元!晶升股份在科创板上市4月24日,南京晶升装备股份有限公司(下称“晶升股份”,SH:688478)在上海证券交易所科创板上市。本次上市,晶升股份的发行价为32.52元/股,发行数量为3459.1524万股,募资总额为11.25亿元。此前招股书显示,晶升股份计划募资4.76亿元,其中2.73亿元用于总部生产及研发中心建设项目,2.02亿元用于半导体晶体生长设备总装测试厂区建设项目,华泰联合证券为其保荐机构。根据招股书介绍,晶升股份是一家半导体专用设备供应商,主要从事晶体生长设备的研发、生产和销售。晶升装备在招股书中表示,该公司得到了众多主流半导体厂商的认可,陆续开拓了上海新昇、金瑞泓、神工股份、三安光电、东尼电子、合晶科技及客户A等客户。单晶炉厂商晶阳机电开启北交所上市辅导5月18日,证监会披露了安信证券关于浙江晶阳机电股份有限公司(简称:晶阳机电)向不特定合格投资者公开发行股票并在北京证券交易所上市辅导备案的报告。官网显示,晶阳机电是专业的直拉式硅单晶生长炉生产厂家,目前主要产品有单晶炉、铸锭炉、石英坩埚、其他半导体相关设备,公司技术力量雄厚,研制开发技术支持能力强大,现有研发人员23名,其中多人具有硕士以上学历,并在上海同时设有销售及售后服务中心;生产基地位于国家历史文化名城嘉兴,占地面积近25000平方米(约29.8亩),生产车间包括金属加工车间、产品总装调试车间、硅单晶炉、铸锭炉试机车间、电气组立车间以及石英坩埚生产线车间。浙江晶阳机电股份有限公司于12月26日在北交所更新上市申请审核动态,该公司IPO申请已受理。国产刻蚀设备关键零部件供应商先锋精科科创板IPO获受理6月8日,上交所正式受理了江苏先锋精密科技股份有限公司(公司简称:先锋精科)科创板上市申请。据招股书披露,先锋精科是国内半导体刻蚀和薄膜沉积设备细分领域关键零部件的精密制造专家,尤其在国际公认的技术难度仅次于光刻设备的刻蚀设备领域,公司是国内少数已量产供应7nm及以下国产刻蚀设备关键零部件的供应商,直接与国际厂商竞争。在聚焦半导体领域的同时,公司充分发挥精密零部件技术的扎实基础及创新能力优势,积极在光伏、医疗等其他领域探索和开发新产品。先锋精科本次IPO拟募资7亿元,投向靖江精密装配零部件制造基地扩容升级项目、无锡先研设备模组生产与装配基地项目、无锡先研精密制造技术研发中心项目及补充流动资金。CMP设备商晶亦精微冲刺科创板IPO7月2日,上交所日前正式受理了北京晶亦精微科技股份有限公司(简称:晶亦精微)科创板上市申请。公司预计投入募资16亿元,用于高端半导体装备研发项目、高端半导体装备工艺提升及产业化项目、高端半导体装备研发与制造中心建设项目和补充流动资金。据招股书披露,晶亦精微主要从事半导体设备的研发、生产、销售及技术服务,主要产品为化学机械抛光(CMP)设备及其配件,并提供技术服务。CMP设备通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化,主要用于集成电路制造领域。通过长期合作,晶亦精微与境内外知名集成电路厂商建立了深厚的战略合作关系,CMP设备已广泛应用于中芯国际、境内客户A、世界先进、联华电子等境内外先进集成电路制造商的规模化产线中。硅部件生产商盾源聚芯主板IPO12月14日,因宁夏盾源聚芯半导体科技股份有限公司(简称:盾源聚芯)已完成财务资料更新,根据《深圳证券交易所股票发行上市审核规则》第六十一条的相关规定,深交所恢复其发行上市审核。盾源聚芯主要从事硅部件和石英坩埚的研发、生产和销售。主要产品包括:半导体芯片加工设备用的硅环、硅喷淋头、硅舟、硅喷射管等硅部件产品、单晶/ 多晶硅部件材料产品以及生产半导体单晶硅和太阳能单晶硅用的石英坩埚产品。盾源聚芯硅部件产品在芯片加工流程里主要用于热氧化、刻蚀(干法)、退火、扩散、化学气相沉积。盾源聚芯此次IPO拟募资12.96亿元,募集资金将用于硅部件生产线新建项目、石英坩埚生产线升级项目、盾源聚芯研发中心建设项目、全球营销网络建设项目、现有厂房购置款支付及补充流动资金。硅部件生产线新建项目拟使用募集资金6亿元,募投项目达产后,盾源聚芯预计将新增硅环产量9.62万个/年。募资总额13.42亿,京仪装备正式登陆上交所科创板11月29日上午,北控集团所属北京京仪自动化装备技术股份有限公司在上海证券交易所科创板挂牌上市,正式登陆资本市场,成为北控集团旗下首个科创板上市公司。据了解,京仪装备首次公开发行数量为4200万股,发行价为31.95元/股,上市当日开盘价为60.12元/股,市值超百亿,募集资金总额为13.42亿元,用于集成电路制造专用装备研发基地项目和补充流动资金。京仪装备成立于2016年,主营产品包括半导体专用温控设备(Chiller)、晶圆传片设备(Sorter)和半导体专用工艺废气处理设备(Local Scrubber)等专用设备。因出现重大事项 杰锐思IPO上会前夕被取消审议12月7日,深交所披露公告称,鉴于苏州杰锐思智能科技股份有限公司(简称:杰锐思)在本次上市委审议会议公告发布后出现重大事项,按照相关程序,本次上市委审议会议取消审议杰锐思发行上市申请。据了解,杰锐思原本被安排在12月8日首发上会,但最终被取消审核。此次冲击创业板上市,杰锐思拟募资6.04亿元,闯关IPO背后,公司与多个业务合作商关系密切,客户、供应商疑点重重。杰锐思是专业从事智能检测设备和智能生产组装设备(线)的研发、设计、生产及销售的科技型企业。依托先进的力学检测技术,公司实现了向精密运控、机器视觉等技术领域的拓展,逐步构建了涵盖3C、新能源及半导体封测等行业的业务体系,形成了以3C力学检测、锂电池电芯制造、半导体测试分选等智能设备为发展核心的产品分布。
  • 半导体量测设备厂商优睿谱成功交付客户SICE200设备
    近日,上海优睿谱半导体设备有限公司(简称“优睿谱”)成功交付客户一款晶圆边缘检测设备SICE200,设备可用于硅基以及化合物半导体衬底及外延晶圆的边缘缺陷检测。SICE200外观图片据优睿谱总经理唐德明博士介绍,优睿谱本次推出的SICE200设备具有以下技术特点:兼容6&8寸SiC&Si衬底和外延晶圆边缘检测,也适用于其他化合物衬底及外延晶圆的边缘缺陷检测可同时实现对晶圆360°检测(晶圆正面、背面及边缘的缺陷检测)可同时实现对晶圆倒角和直径精确测量(可选)自主知识产权的光机系统可实现高分辨率、高检出率及高检测速率晶圆厚度、TTV/Warp/Bow等参数测量(可选)唐德明博士表示,在整机软件和缺陷检测算法层面,SICE200具备以下技术优势:图像增强技术:凸显边缘崩边、裂纹,表面划伤,污渍等缺陷特征丰富的条件组合判断参数化检测工具: 多种高精度的检测算法工具,具备高度的自适应能力,能够准确判断和识别缺陷类别,并准确分类晶圆倒角和直径测量技术:对边缘轮廓、晶圆直径精确测量、拟合、计算其倒角和直径尺寸功能模块化:检测软件功能模块化,可快速配置检测程式(Recipe),满足客户个性化的检测需求(可选)缺陷小图:数据留存,方便缺陷复查(Defect Review)SICE检测的典型的特征缺陷及倒角测量裂纹缺陷崩边缺陷沾污缺陷晶圆倒角参数测量此前,优睿谱已陆续推出国内首发半导体专用FTIR(傅立叶变换红外光谱)测量设备系列(部分型号目前已获得海外客户订单):适用于硅基外延层膜厚测量设备Eos200/Eos300适用于硅基元素浓度(B/P/F)测量设备Eos200+/Eos300+通过优化的硬件设计(更新的红外光谱仪技术)配合自主开发的算法实现对碳化硅外延层膜厚及外延缓冲层膜厚测量设备Eos200L通过优化的硬件设计(更新的红外光谱仪技术)配合自主开发的Global Fitting Algo. ® 算法技术实现碳化硅多层(≥3层)外延膜厚测量设备Eos200L+硅材料中C/O含量测量设备Eos200T优睿谱SICV200晶圆电阻率量测设备,实现了完全对标国外供应商测试性能及设备供应链的国产化目标。同时,针对碳化硅外延晶圆CV测量后有金属残留及压痕的行业痛点做了针对性创新开发,成功解决该行业痛点,目前已得到多家客户的订单。优睿谱针对碳化硅衬底晶圆位错及微管检测设备SICD200,实现了碳化硅位错检测的整片晶圆全检测,该设备已获得境外客户订单。优睿谱Eos200DSR设备,实现了SOI晶圆重掺顶层硅厚度测量。同时,可用于硅基铌酸锂厚度、晶圆背封LTO厚度及光刻胶厚度测量。优睿谱成立于2021年,由长期从事于半导体行业的海归博士领衔,协同国内资深的半导体前道制程量测设备技术团队共同发起成立,致力于打造高品质的半导体前道量测设备。
  • 2021上半年国产半导体设备商IPO情况盘点
    伴随全球信息化、网络化和知识经济的迅速发展,特别是在以物联网、人工智能、汽车电子、智能手机、智能穿戴、云计算、大数据和安防电子等为主的新兴应用领域强劲需求的带动下,全球半导体产业收入规模巨大。2018年全球半导体行业收入为4761.51亿美元,2019年受全球宏观经济低迷影响,半导体行业景气度有所下降,收入同比下降11.97%,为4191.48亿美元,预计2021年半导体行业开始复苏,2024 年预计全球半导体行业收入将达到 5727.88 亿美元。纵观全球半导体产业的发展历程,经历了由美国向日本、向韩国和中国台湾地区及中国大陆的几轮产业转移。目前中国大陆已成为全球最重要的半导体应用和消费市场之一。根据国际半导体协会(SEMI)的统计数据,2017年到2020年期间,全球将有62座新晶圆厂投产,其中将有26座新晶圆厂座落中国大陆,占比达42%。新晶圆厂从建立到生产的周期大概为2年,未来几年将是中国大陆半导体产业半导体设备在半导体行业产业链中占据重要的地位。半导体设备的技术复杂,客户对设备的技术参数、运行稳定性有苛刻的要求,以保障生产效率、质量和良率。按照摩尔定律,当价格不变时,集成电路上可容纳的元器件的数目,约每隔 18-24 个月便会增加一倍,性能也将提升一倍。相应的,集成电路行业的设备供应商也必须每隔 18-24 个月推出更先进的制造工艺;集成电路制造工艺的技术进步,反过来也会推动半导体专用设备企业不断追求技术革新。同时,集成电路行业的技术更新迭代也带来对于设备投资的持续性需求,而半导体专用设备的技术提升,也推动了集成电路行业的持续快速发展的快速发展期。集成电路产业面临着新型芯片或先进工艺的产能扩张需求,为半导体设备行业带来广阔的市场空间。值此半导体产业爆发之际,国产半导体设备商开启IPO之路,以期募集资金提升技术实力并扩张产能。上半年已有多家半导体设备商筹备会完成乐IPO之路。屹唐半导体设备IPO获受理屹唐股份科创板IPO申请近日获得上交所受理。屹唐股份是一家总部位于中国,以中国、美国、德国三地作为研发、制造基地,面向全球经营的半导体设备公司,主要从事晶圆加工设备的研发、生产和销售。2020年,屹唐股份干法去胶设备、快速热处理设备市占率分别为全球第一、第二。据招股书介绍,屹唐半导体主要设备相关技术达到国际领先水平,产品已应用在多家国际知名集成电路制造商生产线上并实现大规模装机。该公司干法去胶设备、快速热处理设备主要可用于90纳米到5纳米逻辑芯片、10纳米系列DRAM芯片以及32层到128层3D闪存芯片制造中若干关键步骤的大规模量产;干法刻蚀设备主要可用于65纳米到5纳米逻辑芯片、10纳米系列DRAM芯片以及32层到128层3D闪存芯片制造中若干关键步骤的大规模量产。屹唐股份此次拟募资30亿元,投向屹唐半导体集成电路装备研发制造服务中心项目、高端集成电路装备研发项目以及发展和科技储备资金。本次股票发行后拟在上交所科创板上市。芯碁微装成功登录科创板4月1日,芯碁微装成功登陆科创板,成为“国产光刻设备第一股”。据了解,芯碁微装是专业的光刻设备供应商,专注服务于电子信息产业中PCB领域及泛半导体领域的客户,为客户提供直接成像设备、直写光刻设备以及相应的维保服务。经过多年的深耕与积累,芯碁微装累计服务近70家客户,包括深南电路、健鼎科技、胜宏科技、景旺电子、维信诺、中电科、佛智芯、沃格光电、矽迈微电子、中国科学院半导体研究所、中国工程物理研究院激光聚变研究中心、中国电子科技集团公司第十一研究所等知名企业和研究机构。芯碁微装拟将IPO募集资金用于高端PCB激光直接成像(LDI)设备升级迭代项目、晶圆级封装(WLP)直写光刻设备产业化项目、平板显示(FPD)光刻设备研发项目和微纳制造技术研发中心建设项目。通过上述项目的实施,芯碁微装将进一步满足下游不断发展的光刻设备应用需求,为未来业绩的增长和业务发展打下坚实的基础。盛美半导体科创板IPO已提交注册作为国内半导体清洗设备龙头企业,盛美半导体设备(上海)股份有限公司上市之路一直备受关注。近日,盛美半导体科创板上市已正式提交注册。盛美半导体主要从事半导体专用设备的研发、生产和销售,主要产品包括半导体清洗设备、半导体电镀设备和先进封装湿法设备等。其坚持差异化竞争和创新的发展战略,通过自主研发的单片兆声波清洗技术、单片槽式组合清洗技术、电镀技术、无应力抛光技术和立式炉管技术等,向全球晶圆制造、先进封装及其他客户提供定制化的设备及工艺解决方案,有效提升客户的生产效率、提升产品良率并降低生产成本。盛美半导体拟募资18亿元用于盛美半导体设备研发与制造中心、盛美半导体高端半导体设备研发项目和补充流动资金。中科仪主动终止科创板IPO2021年5月12日,中科仪保荐人招商证券股份有限公司向上交所提交了《招商证券股份有限公司关于撤回中国科学院股份有限公司首次公开发行股票并在科创板上市申请文件的申请》,中科仪向上交所提交了《中国科学院沈阳科学仪器股份有限公司关于撤回首次公开发行股票并在科创板上市申请文件的申请》(沈科仪发〔2021〕11号),申请撤回申请文件。根据《上海证券交易所科创板股票发行上市审核规则》第六十七条的有关规定,上交所决定终止对中科仪首次公开发行股票并在科创板上市的审核。中科仪主要从事干式真空泵、真空仪器设备的研发、生产和销售,并提供相关技术服务。干式真空泵是半导体制造工艺设备的核心附属设备,为集成电路、光伏、LED、平板显示、锂电池等行业的生产设备提供所必需的高度洁净真空环境。公司真空仪器设备产品主要包括大科学装置、真空薄膜仪器设备、新材料制备设备三大类。中科仪原拟募集资金77100.02万元,其中57100.02万元用于干式真空泵产业化建设项目,20000.00万元用于补充营运资金。华海清科科创板IPO成功过会6月17日,据上交所科创板上市委2021年第39次审议会议结果显示,华海清科科创板IPO成功过会,将于上交所科创板上市。据招股书显示,华海清科是一家拥有核心自主知识产权的高端半导体设备制造商,主要从事半导体专用设备的研发、生产、销售及技术服务,主要产品为化学机械抛光(CMP)设备。CMP是先进集成电路制造前道工序、先进封装等环节必需的关键制程工艺,公司所生产CMP设备可广泛应用于12英寸和8英寸的集成电路大生产线,产品总体技术性能已达到国际先进水平。公司推出了国内首台拥有核心自主知识产权的12英寸CMP设备并实现量产销售,是目前国内唯一一家为集成电路制造商提供12英寸CMP商业机型的高端半导体设备制造商;公司所产主流机型已成功填补国内空白,打破了国际巨头在此领域数十年的垄断,有效降低了国内下游客户采购成本及对国外设备的依赖,支撑国内集成电路产业的快速发展。华海清科首次公开发行的股票不超过2666.67万股,占发行后总股本的25.00%。据招股书显示,华海清科拟募集资金10亿元,此次募集的资金将用于高端半导体装备(化学机械抛光机)产业化、高端半导体装备研发、晶圆再生扩产升级、补充流动资金等项目。汇成真空拟A股IPO 已进行上市辅导备案近日,广东监管局披露了关于广东汇成真空科技股份有限公司(以下简称:汇成真空)辅导备案登记受理信息,其辅导机构为东莞证券,已于6月21日办理了辅导备案登记。据了解,汇成真空是一家面向全球的真空应用解决方案提供商,研发、生产和销售各类真空设备、半导体设备、电子生产设备、光电设备、光伏设备、动力电池设备及产品相关配件的国家高新技术企业,专注设备与产品的相关制造工艺和应用技术、控制软件、工艺流程控制软件及相关生产自动化软件的研发、应用,并提供技术转让、技术咨询和技术服务。目前众多半导体设备商正踊跃寻求IPO,以期抓住中国半导体行业的快速发展机遇,充分发挥公司已有市场地位、技术优势、工艺积累和行业经验,密切关注全球半导体专用设备行业的前沿技术,确保公司产品品质、核心技术始终处于中国行业领先地位,并奋力赶超全球先进水平。同时,这些半导体设备企业也将在现有产品的基础上实现产品性能和技术升级,持续跟踪新兴终端市场的变化,确保公司产品与市场需求有效结合。
  • 2022上半年国产半导体设备商IPO情况盘点
    半导体设备是半导体产业的基石,也是国内半导体产业最为薄弱的环节之一。随着集成电路产业,特别是新型芯片和先进工艺的产能扩张为半导体设备行业带来了广阔的市场空间。值此半导体产业爆发之际,国产半导体设备商开启IPO之路,以期募集资金提升技术实力并扩张产能。近日,仪器信息网对公开信息进行梳理,统计了2022年上半年半导体设备企业的IPO情况,以飨读者。(统计数据可能不全,欢迎联系补充,邮箱:kangpc@instrument.com.cn)微导纳米二闯科创板2022年3月3日,江苏微导纳米科技股份有限公司(以下简称:微导纳米)再度闯关科创版。微导纳米此前两次冲刺A股上市均无疾而终,此后更换了辅导机构。微导纳米掌握ALD核心技术,此前面临关联交易质疑,以及专利纷争。撤回IPO申请一年之后,江苏微导纳米科技股份有限公司(以下简称“微导纳米”)整装再出发,以全新股东阵容冲刺科创板,25名股东中不仅包含11家私募基金股东,其中更不乏君联资本、高瓴投资等明星机构的身影。拟募资规模也提高了1倍,由前次的5亿元调至10亿元,主要用于基于原子层沉积技术的光伏及柔性电子设备扩产升级、集成电路高端装备产业化应用中心等项目和补充流动资金。其中,补充流动资金预计金额为1.5亿元。资料显示,微导纳米成立于2015年12月25日,主要从事先进微、纳米级薄膜沉积设备的研发、生产和销售, 向下游客户提供先进薄膜沉积设备与相关改造服务及备品备件。此次IPO,多家知名机构的入股为微导纳米增色不少,不过此类投资机构向来逐利特征明显,通常会在企业上市之后迅速获利抽离,所以很难对企业的长远发展起到助力作用。更值得关注的是,该公司财务数据钩稽关系不成立,企业存在为扮靓业绩调整数据的可能性。拓荆科技正式登陆科创板4月20日,拓荆科技股份有限公司(688072)正式登陆上海证券交易所科创板。作为高端半导体专用设备企业,拓荆科技一直秉持自主创新发展,凭借一系列独创性的设计,完善的知识产权体系,以及达到国际先进水平的核心技术,公司已在国际市场展露头脚。拓荆科技表示,此次发行上市,是公司发展史上的一个重要里程碑,公司将借助资本市场这一平台,全面提升公司综合实力和公司价值,实现投资者利益最大化。拓荆科技作为自主创新的半导体设备供应商,为进一步提高技术先进性,丰富设备种类,拓展技术应用领域,提升市场占有率,开发台湾市场,公司拟借助资本力量,募集资金用于高端半导体设备扩产、先进半导体设备的技术研发与改进,以及ALD设备研发与产业化等项目。按照发展规划,公司将开展配适10nm以下制程的PECVD产品研发;开发Thermal ALD 和大腔室PE ALD;同时升级SACVD设备,研发12英寸满足28nm以下制程工艺需要的SACVD设备。华海清科成功登陆科创板6月8日,华海清科股份有限公司(以下简称“华海清科”)成功登陆科创板。华海清科的主营业务为CMP(化学机械抛光)设备,是目前国内唯一一家能够提供半导体12英寸CMP商业机型的厂商,其产品可覆盖8英寸(200mm)、12英寸(300mm)晶圆产线,已应用于中芯国际、长江存储、华虹集团、英特尔等国内外芯片厂商的产线中。报告期内,华海清科营收增长较快,2019年-2021年总营收分别为2.11亿元、3.86亿元和8.05亿元。截至招股书签署日,清华大学拥有华海清科37.854%的股份,为公司实际控制人和间接控股股东。本次IPO,华海清科计划募资10亿元,将分别用于“高端半导体装备(化学机械抛光机)产业化项目”、“高端半导体装备研发项目”、“晶圆再生项目”和“补充流动资金”4个项目,扣除发行费用后共募集了34.9亿元,超募近2.5倍。中科飞测赴科创板IPO6月16日,深圳中科飞测科技股份有限公司(以下简称“中科飞测”)首发申请上会。中科飞测公开发行股票数量不超过80,000,000股,占发行后已发行股份总数比例不超过于25%。本次募集资金100,000.00万元,主要用于高端半导体质量控制设备产业化项目、研发中心升级建设项目和补充流动资金。中科飞测将登陆上交所科创板上市,保荐机构为国泰君安证券。据了解,中科飞测是一家国内领先的高端半导体质量控制设备公司,自成立以来始终专注于检测和量测两大类集成电路专用设备的研发、生产和销售,产品主要包括无图形晶圆缺陷检测设备系列、图形晶圆缺陷检测设备系列、三维形貌量测设备系列、薄膜膜厚量测设备系列等产品,已应用于国内28nm及以上制程的集成电路制造产线。随着半导体制程技术快速发展,质量控制设备也向更小的工艺节点发展,研发难度逐渐提高。当前,国际巨头普遍能够覆盖 2Xnm 以下制程,先进产品已经应用在 7nm 以下制程。中科飞测产品虽然已能够覆盖 2Xnm 及以上制程,但对于应用于 2Xnm 以下制程的质量控制设备仍在研发或验证中,与科磊半导体、应用材料、创新科技等国际巨头在制程工艺的先进性方面尚存在较大差距。报告期内,中科飞测营业收入分别为5,598.37万元、23,758.77万元、36,055.34万元,扣除非经常性损益后归属于母公司所有者的净利润分别为-7,238.70万元、-132.58万元、348.01万元,2021年度中科飞测首次实现微利。同时,报告期内,中科飞测经营活动现金流量净额分别为-2,848.82万元、-8,672.18万元和-9,989.46万元,存在持续为负的情况。报告期内,中科飞测产品已广泛应用在中芯国际、长江存储、士兰集科、长电科技、华天科技、通富微电等国内主流集成电路制造产线,打破在质量控制设备领域国际设备厂商对国内市场的长期垄断局面。与此同时,其积极承担了多个国家级、省级、市级重点专项研发任务,助力国内集成电路产业领域关键产品和技术的攻关与突破。联动科技冲关创业板公开资料显示,联动科技主营半导体行业后道封装测试领域专用设备的研发、生产和销售,其主要产品包括半导体自动化测试系统、激光打标设备及其他机电一体化设备。在首次冲击科创板IPO却以主动撤回上市申请而铩羽之后,改弦更道期望于创业板挂牌的联动科技,在经过深交所近9个月时间和前后共计三轮问询及一次落实审核中心意见之后,终于即将在2022年3月25日召开的创业板上市委2022年第15次审议会议上迎来其IPO之旅中至关重要的表决。与在2020年9月首次申请科创板上市时一样,此次瞄准创业板IPO的联动科技同样计划发行不超过1160万股,但募集资金则较之前足足提高了1.62亿达到6.37亿。这近6.4亿资金将被联动科技用来投向“半导体封装测试设备产业化扩产建设”、“半导体封装测试设备研发中心建设”、“营销服务网络建设”等三大项目及补充营运资金。恒普科技科创板IPO恢复上市审核2022年3月30日,上交所正式受理了宁波恒普真空科技股份有限公司(简称:恒普科技)科创板上市申请。本次拟募资3.52亿元,投建于宽禁带半导体及金属粉末材料用高端热工装备扩产项目、研发中心建设项目以及补充流动资金。2022年5月5日,宁波恒普真空科技股份有限公司及其中介机构因受疫情影响,无法在规定时限内完成尽职调查、回复审核问询等工作,向上交所申请中止审核。2022年6月28日,根据《审核规则》第六十六条,《审核规则》第六十四条(七)所列中止审核情形消除,上交所恢复宁波恒普真空科技股份有限公司发行上市审核。资料显示,恒普科技是一家以材料研究为基础,以高温热场环境控制为技术核心的金属注射成形(MIM)领域和宽禁带半导体领域的关键设备供应商,主要从事金属注射成形(MIM)脱脂烧结炉、碳化硅晶体生长炉、碳化硅同质外延设备等热工装备的研发、生产和销售。财务数据显示,公司2018年、2019年、2020年、2021年前9月营收分别为9,044.24万元、1.85亿元、2.15亿元、2.57亿元 同期对应的净利润分别为1,045.97万元、2,747.81万元、3,176.34万元、3,424.05万元。晶升装备申请科创板IPO4月27日,上交所已受理南京晶升装备股份有限公司(下称:晶升装备)科创板IPO申请。晶升装备本次拟公开发行股票数量不超过3,459.1524万股,不低于本次发行完成后公司总股本的25.00%,本次发行全部为新股发行,不涉及原股东公开发售股份的情形。招股书显示,成立于2012年2月的晶升装备是一家半导体专用设备供应商,主要从事晶体生长设备的研发、生产和销售。晶升装备此次IPO募集资金4.76亿元,将用于总部生产及研发中心建设项目、半导体晶体生长设备总装测试厂区建设项目。矽电股份IPO获创业板受理6月30日消息,矽电股份近日创业板上市申报材料获受理,计划发行不超过 1043.1819 万股,计划募资约5.56亿元。募投项目为“探针台研发及产业基地建设项目”、“分选机技术研发项目”、“营销服务网络升级建设项目”、补充流动资金。矽电股份主要从事半导体专用设备的研发、生产和销售,专注于半导体探针测试技术领域,系境内领先的探针测试技术系列设备制造企业。根据SEMI 和 CSA Research 统计,2019 年矽电股份占中国大陆探针台设备市场 13%的市场份额,市场份额排名第四,为中国大陆设备厂商第一名。矽电股份强调,公司经过多年发展,已全面掌握了高精度快响应大行程精密步进技术、定位精度协同控制、探针卡自动对针技术、晶圆自动上下片技术、基于智能算法的机器视觉、电磁兼容性设计技术等探针测试核心技术。探针测试核心技术水平在境内处于领先地位,新一代全自动超精密 12 英寸晶圆探针台已实现产业化应用,晶粒探针台核心技术指标已达到国际同类设备水平。截至2021年12月31日,矽电股份已获得授权专利172项(其中发明专利18项),软件著作权59项。精智达闯关科创板上市进入“已问询”状态6月25日,深圳精智达技术股份有限公司(简称:精智达)申请科创板IPO审核状态变更为“已问询”。招股书显示,精智达去年营收45,831.36万元,净利润6,741.97万元,研发投入占营业收入的比例为7.44%。精智达是检测设备与系统解决方案提供商,主要从事新型显示器件检测设备的研发、生产和销售业务,产品广泛应用于以AMOLED为代表的新型显示器件制造中光学特性、显示缺陷、电学特性等功能检测及校准修复,并逐步向半导体存储器件测试设备领域延伸发展,相关产品应用于以DRAM为代表的半导体存储器件制造的晶圆测试、封装测试及老化修复。精智达此次募集资金6亿元,其中,1.98亿元用于新一代显示器件检测设备研发项目;1.62亿元用于新一代半导体存储器件测试设备研发项目;补充流动资金2.40亿元。卓海科技拟创业板IPO6月14日,无锡卓海科技股份有限公司披露招股说明书(申报稿),拟公开发行股票不超过约2389.56万股,不低于发行后总股本的25%。本次发行不涉及公司股东公开发售股份的情况。卓海科技此次IPO拟募资5.47亿元,募集资金用于项目及拟投入的募资金额为:半导体前道量检测设备扩产项目,拟使用募集资金金额约1.04亿元;研发中心建设项目,拟使用募集资金金额约1.84亿元;补充流动资金,拟使用募集资金金额2.60亿元。本次股票发行后拟在深交所创业板上市。卓海科技作为国内重要的半导体前道量检测设备供应商,主要通过对退役设备的精准修复和产线适配来实现其再利用价值,为客户提供高稳定性、品类丰富的前道量检测修复设备,并通过配件供应及技术服务满足客户全方位需求。此外,公司也致力于前道量检测设备(如应力测量设备、四探针电阻测试仪等)及其关键配件(如激光器等)的自主研发。值得注意的是,近年来,受益于国产替代的趋势,从2019年以来,国产半导体设备企业业绩呈现爆发式增长,甚至有很多企业业绩翻倍增长。大量半导体设备企业业绩表现良好,产值增长迅速,随之而来的便是扩产的需求。由于业绩表现良好,半导体企业开始大量冲刺IPO,迎来了上市大潮。
  • 国产半导体设备厂商盛美半导体即将IPO上会
    p style=" text-indent: 2em text-align: justify " 早在6月1日,上交所正式受理了盛美半导体设备(上海)股份有限公司科创板上市申请。日前,据集微网查询得知,盛美半导体将于9月28日正式上会! /p p style=" text-align: center text-indent: 0em " br/ img style=" max-width:100% max-height:100% " src=" http://uploadimg2.moore.ren/images/news/2020-09-21/090123.jpg" / /p p style=" text-indent: 2em text-align: justify " 据悉,盛美半导体主要从事半导体专用设备的研发、生产和销售,主要产品包括半导体清洗设备、半导体电镀设备和先进封装湿法设备等。公司坚持差异化竞争和创新的发展战略,通过自主研发的单片兆声波清洗技术、单片槽式组合清洗技术、电镀技术、无应力抛光技术和立式炉管技术等,向全球半导体制造、封装测试及其他客户提供定制化的设备及工艺解决方案,有效提升客户的生产效率、提升产品良率并降低生产成本。 /p p style=" text-indent: 2em text-align: justify " 2017-2019年,盛美半导体的营业收入分别为25,358.73万元、55,026.91万元、75,673.30万元;净利润分别为1,086.06万元、9,253.04万元、13,488.73万元。 /p p style=" text-indent: 2em text-align: justify " 此前,盛美半导体董事长王晖博士在接受集微网记者采访时表示:“这几年中国半导体产业的发展可以说是突飞猛进。尤其是在新建产线方面,包括长江存储、合肥长鑫、中芯国际、华虹华力都有多个晶圆厂正在扩建中,同时还有积塔半导体、士兰微、粤芯等也在新建产线中,所以我觉得现在国内的市场环境特别好。尤其是对于已经有十几年技术储备的盛美半导体来说,我们正赶上一个快速发展的好时期。” /p p style=" text-indent: 2em text-align: justify " 据悉,盛美半导体从一开始切入清洗设备市场便决定要走差异化路线,从而与国际厂商竞争。经过二十多年的技术储备,如今的盛美半导体已成长为国内清洗设备的“领头羊”,公司研发团队先后开发出了SAPS、TEBO、Tahoe等全球领先的半导体清洗技术及设备。 /p p style=" text-indent: 2em text-align: justify " 据王晖介绍,2009年,盛美半导体第一个兆声波清洗技术SAPS取得突破后,便进入SK海力士无锡生产线测试,而这也是国产设备第一次进入国际知名厂商;2015年,公司研发团队又开发出TEBO无损伤兆声波清洗技术;2018年,盛美半导体再下一城,发布了Tahoe高温硫酸清洗设备 /p
  • 加野与您相约第十届中国半导体设备年会 (CSEAC)
    为进一步推动我国半导体装备产业的发展,由中国电子专用设备工业协会主办的以 凝聚“芯”合力、发展“芯”设备为主题的第十届(2022)中国半导体设备年会暨半导体设备与核心部件展示会(CSEAC),将于2022年8月20-22日 在无锡太湖国际博览中心举办。KANOMAX作为微电子制造环境检测仪器的供应商,应邀参加本次大会,为广大用户带来了半导体设备精密测试解决方案及相关检测设备,如尘埃粒子计数器、在线监测系统、智能型环境测试仪、风量罩、超小型精密压力表系列等,是电子制造行业环境检测不可缺少的关键产品。 会议内容一、高峰论坛:1、2021年中国半导体设备行业经济运行分析和2022年发展展望2、国产集成电路晶圆制造装备、先进封装制造装备、化合物半导体制造装备现状和发展趋势3、国产集成电路现状和发展趋势4、太阳能电池制造装备现状和发展趋势5、半导体设备关键零部件产业发展现状和前景分析 二、专题论坛1、极大规模集成电路关键设备的研发与应用最新进展2、3D TSV 封装设备研发与量产和晶圆级封装CSP等新兴技术3、Mini LED/Micro LED生产线设备的国产化新进展4、高转换效率、低成本、全自动太阳能电池关键工艺装备的产业化5、半导体制造装备核心技术、共性技术和关键部件的自主创新与产业化 本届大会,参会人员有国家科技重大专项的领导和专家、重大项目承担单位、有关行业协会、产业联盟领导和专家;半导体制造装备和集成电路、LED、 太阳能电池生产企业、科研院所及核心部件供应商负责人和工程技术人员;国内外知名半导体专家等。 第十届(2022)中国半导体设备年会暨半导体设备与核心部件展示会(CSEAC)会议时间:2022年8月20-22日会议地点:无锡太湖国际博览中心加野展位:B3-83 加野诚挚邀请您莅临参观指导,谢谢!
  • 盘点半导体产业链上的行业协会/学会/产业联盟等社会团体
    半导体是许多工业整机设备的核心,普遍应用于计算机、消费类电子、网络通信、汽车电子等核心领域。半导体主要由四个组成部分组成:集成电路(约占81%),光电器件(约占10%),分立器件(约占6%),传感器(约占3%),因此通常将半导体和集成电路等价。集成电路按照产品种类又主要分为四大类:微处理器(约占18%),存储器(约占23%),逻辑器件(约占27%),模拟器件(约占13%)。半导体产业链分上中下三层:上游是基础支撑产业链,包括了材料、耗材、设备、辅助制造、EDA等,中游是核心制造产业链,芯片设计公司、芯片制造公司和封装测试公司,下游是终端应用产业链。据中国半导体行业测算,2020年我国集成电路销售收入达到8848亿元,平均增长率达到20%,为同期全球产业增速的3倍。半导体产业链面对复杂的产业链和巨大的市场规模,整合资源、加强行业交流显得尤为重要。由此,自发或政府组织建立了众多的半导体行业的社会组织(协会、学会、联盟、标准委员会等)。通过各种行业组织,成员之间可以更容易整合资源并加群交流,提高了行业整合效率,也有利于产业进步升级。为此,仪器信息网特对半导体产业链上的社会组织进行整理盘点,涉及半导体材料、设备、光刻工艺、封测、光电子器件、分立元器件等。(信息搜集自网络,仅供参考)从组织形式分类来看,本次盘点涉及的社会组织主要分为四类,包括行业协会、行业学会、产业联盟和标准化技术委员会。行业协会是指介于政府、企业之间,商品生产者与经营者之间,并为其服务、咨询、沟通、监督、公正、自律、协调的社会中介组织。行业协会是一种民间性组织,它不属于政府的管理机构系列,而是政府与企业的桥梁和纽带。行业学会是指各行业为研究某一行业及学科的人组成的学术团体、学术组织,包括各行业的各类学会。产业联盟是指出于确保合作各方的市场优势,寻求新的规模、标准、机能或定位,应对共同的竞争者或将业务推向新领域等目的,各有关企业和相关机构等成员单位之间结成的互相协作和资源整合的一种合作模式。标准化技术委员会是由国务院标准化主管部门根据工作需要,依法在一定专业领域内建立的从事标准化工作的技术工作机构。由生产、科研、教学、检验、用户等方面的专家、技术人员和管理人员组成。其主要任务是起草标准、审定标准。组织形式名称行业协会SEMI中国半导体行业协会中国电子专用设备工业协会中国电子信息行业联合会中国电子信息技术产业协会中国电子电路行业协会中国电子企业协会中国工业气体行业协会中国电子气生产与利用百人会中国电子材料行业协会半导体材料分会中国光学光电子行业协会光电器件分会中国光学光电子行业协会发光二极管显示应用分会中国光伏行业协会行业学会中国物理学会半导体物理专业委员会中国光学学会光刻技术专业委员会中国有色金属学会半导体材料学术委员会中国有色金属学会宽禁带半导体专业委员会中国电子学会真空电子学分会中国电子学会半导体与集成技术分会中国电子学会电子材料学分会中国电子学会电子制造与封装技术分会中国机械工程学会微纳制造技术分会中国光学工程学会微纳光电子集成技术专家委员会中国感光学会辐射固化专业委员会中国硅酸盐学会晶体生长与材料分会中国真空学会电子材料与器件专业委员会中国真空学会显示技术专业委员会中国微米纳米技术学会微纳米制造及装备分会产业联盟第三代半导体产业技术创新战略联盟(CASA)中国集成电路创新联盟集成电路材料产业技术创新联盟中国集成电路知识产权联盟全国印刷电子产业技术创新联盟中国电子化工新材料产业联盟国家半导体照明工程研发及产业联盟中国半导体照明/LED产业与应用联盟中国OLED产业联盟标准化技术委员会全国半导体设备和材料标准化技术委员会全国半导体器件标准化技术委员会全国集成电路标准化技术委员会(筹建中)全国平板显示器件标准化技术委员会中国电子技术标准化研究院中国电子工业标准化技术协会全国印制电路标准化技术委员会SEMISEMI (国际半导体产业协会) 是全球性的产业协会,致力于促进微电子、平面显示器及太阳能光电等产业供应链的整体发展。会员涵括上述产业供应链中的制造、设备、材料与服务公司,是改善人类生活质量的核心驱动力。自1970年至今,SEMI不断致力于协助会员公司快速取得市场信息、提高获利率、创造新市场、克服技术挑战。SEMI投入世界各大主要科技领域,在全球有14个办公室, 包括中国台湾(新竹)、中国大陆(上海、北京)、 日本(东京) 、韩国(首尔) 、新加坡、印度(邦加罗尔)、比利时(布鲁塞尔)、德国(柏林)、法国(格勒诺布尔) 、俄国(莫斯科), 和美国(圣荷西、奥斯汀、华盛顿)。其主要活动包含举办会议与展览、推动国际标准、公共政策、市场研究以及倡导产业环境、健康与安全(EHS)等议题。中国半导体行业协会中国半导体行业协会成立于1990年11月17日,是由全国半导体界从事集成电路、半导体分立器件、半导体材料和设备的生产、设计、科研、开发、经营、应用、教学的单位、专家及其它相关的支撑企、事业单位自愿结成的行业性的全国性的非营利性的社会组织。其下设有集成电路、半导体分立器件、半导体封装、集成电路设计、半导体支撑业和MEMS分会。中国电子专用设备工业协会中国电子专用设备工业协会(CEPEA)成立于1987年7月,是经中华人民共和国民政部批准登记注册取得社团法人资格的全国性工业行业协会。中国电子专用设备工业协会的登记管理机关是中华人民共和国民政部,党建领导机关是中国共产党中央国家机关工作委员会。电子专用设备行业是从事电子产品生产装备的研究、开发、生产的行业,到2019年12月25日止,中国电子专用设备工业协会共有183家会员单位(协会目前不设个人会员)。第三代半导体产业技术创新战略联盟(CASA)2015年9月9日,在国家科技部、工信部、北京市科委的支持下,由第三代半导体相关的科研机构、大专院校、龙头企业自愿发起筹建的“第三代半导体产业技术创新战略联盟”在北京国际会议中心举行了成立大会。中国有色金属学会宽禁带半导体专业委员会宽禁带半导体已成为全球高技术领域竞争战略制高点之一,近年来,我国该领域取得长足发展,但还缺少一个全国性的宽禁带半导体学会组织。为进一步推动我国宽禁带半导体领域的发展,加强交流与协同创新,作为全国MOCVD会议的主办单位,中国有色金属学会经研究批准,决定依托广东省科学院成立中国有色金属学会宽禁带半导体专业委员会。该专委会筹备工作得到了科技部、工信部、国家基金委以及业界的积极响应和大力支持,本专业委员会顾问由16位院士及康义理事长组成,专业委员会委员为64位,基本覆盖了我国宽禁带半导体领域相关高校科研院所、及主要企业的专业带头人。
  • 关于召开“第九届中国半导体设备年会”的通知
    各有关单位:半导体装备制造业是为我国集成电路和半导体器件行业提供工艺装备的战略性产业,是提升我国半导体产业制造能力的高端装备制造产业,也是国家支持的重大技术装备产业。为进一步推动我国半导体装备产业的发展,我协会定于8月19日~20日在重庆市举办“第九届(2021)中国半导体设备市场年会”。届时将邀请政府主管部门、国家重大专项(02专项)专家组、各兄弟协会、高校科研院所、全球顶尖知名半导体器件、半导体设备制造商和新闻媒体等出席。现将会议有关事项通知如下:一、组织机构主办单位:中国电子专用设备工业协会重庆市渝北区人民政府承办单位:中国电子专用设备工业协会半导体设备分会;重庆北碚区经济和信息化委员会;重庆市北碚区人民政府 重庆市北碚区经济和信息化委员会;西部(重庆)科学城北碚园区;重庆市蔡家智慧新城;上海微技术工业研究院;《微电子制造》编辑部;上海芯奥会务服务有限公司协办单位:华润微电子(重庆)有限公司重庆万国半导体科技有限公司 中微半导体设备(上海)股份有限公司北方华创科技集团股份有限公司盛美半导体设备(上海)股份有限公司《电子工业专用设备》杂志社支持单位:中国半导体行业协会、重庆市经济和信息化委员会、重庆市半导体行业协会、北京半导体行业协会、江苏省半导体行业协会、深圳半导体行业协会、广州市半导体协会 支持媒体:新华网、中国电子报、中国集成电路、微电子制造、中电网、电子工程专辑、半导体照明、半导体技术、电子产品世界、仪器信息网二、会议时间:8月19~20日(18日会议报到)三、会议地点:重庆金陵饭店(重庆市渝北区春华大道99号)四、会议内容:(1)高峰论坛1、2020年中国半导体设备行业经济运行分析和2021年发展展望2、国产集成电路晶圆制造装备现状和发展趋势3、国产集成电路先进封装设备现状和发展趋势4、半导体发光二极管制造装备现状和发展趋势5、太阳能电池制造装备现状和发展趋势(2)专题论坛1、极大规模集成电路关键设备的研发与应用最新进展2、3D TSV封装设备研发与量产和晶圆级封装(CSP)等新兴技术3、高亮度LED生产线设备的国产化新进展4、高转换效率、低成本、全自动太阳能电池关键工艺装备的产业化5、半导体制造装备核心技术、共性技术和关键部件的自主创新与产业化五、参会人员国家科技重大专项(02)、有关行业协会、产业联盟领导和专家;半导体制造装备和集成电路、LED、太阳能电池生产企业、科研院所及核心部件供应商负责人和工程技术人员;国内外知名半导体专家;投资机构分析家;新闻媒体等。六、其它事项(1)联系人:(上海)黄 刚、甘凤华、施玥如电话:021-38953725-8001 / 60345020传真:021-38953725-8006Email: hg@cepem.com.cn(2)联系人:(北京)金存忠 电话:010-68860519 E-mail:cepea@163.com 二〇二一年四月七日
  • 美国对华制裁再加码,半导体产业国产替代迎来最佳时机
    据白宫网站消息称,当地时间6月3日,美国总统拜登以“应对中国军工企业威胁”为由签署行政命令,将包括华为公司、中芯国际、中国航天科技集团有限公司等59家中企列入投资“黑名单”,禁止美国人与名单所列公司进行投资交易。实际上,美国对华制裁已见怪不怪,特别是半导体企业已多次被美国制裁。此前特朗普政府曾在2020年11月颁布针对“中共涉军企业”的投资禁令,在下台前,该名单被扩大到44家中国企业。而拜登政府此次行政令又将其扩展到59家。新被列入清单的公司主要集中于半导体和航天领域。此外,名单将执法权从国防部转移到财政部,在法律上的依据更周全。不过这份禁令是投资清单,主要影响是使得部分企业的融资渠道受到一定限制,而此前发布的实体清单不仅切断上游零部件供应,还禁绝了这些企业进入美国市场。一方面禁令的出台对相关产业链和企业带来了不小的负面影响,但另一方面随着美国企业和投资退出,也空出了大量的市场份额,特别是仪器设备这类严重依赖美国产品的市场份额。对于航天类企业,一直以来都被严重封锁,本次清单影响有限,但半导体产业是全球化程度非常深入的产业,影响巨大。SEMI数据显示,2013-2019年中国大陆半导体设备市场规模呈现逐年增长态势,增速波动变化。2019年行业实现市场规模134.5亿美元,同比增长2.6%,增速较2018年有所回落。虽然中国半导体专用设备企业销售规模不断增长,但整体国产率还处于较低的水平,目前中国半导体专用设备仍主要依赖进口。根据中国本土主要晶圆厂设备采购情况的统计数据,目前中国主要本土晶圆厂设备的国产化情况如下:这一系列的对华制裁也为我国企业指明了未来产业发展方向和国产替代机会。美国对华制裁的层层加码进一步铲除了国内企业的依赖思想。特朗普时期,不少企业多多少少心存侥幸心理,认为拜登政府会放松管制。拜登政府的对华制裁,彻底粉碎了“造不如买”的念想。只有坚定信心国产替代才是出路。一直以来,国内半导体供应链主要以采购进口设备为主,国产替代动力不足,但最先进的设备却遭到限制。比如中芯国际可以购买成熟制程的相关设备,但先进制程所需的高端光刻机则始终受到严密限制。然而先进设备都是通过不断迭代出来的。如果不能在成熟制程中进行国产替代并不断升级迭代技术,就没有资金和技术来构建自己的科技体系和研发先进的国产半导体设备。国产替代无法一步到位,需要从成熟制程做起。目前,半导体制造领域的国产替代已经启动。长江存储产线的机台国产化率已经从19年的10%提升至20年的15%,对国产设备起到了培育→带动→批量重复订单的作用。理论上对国产半导体设备企业收入有近超3倍的拉动作用。此外,由北方集成电路技术创新中心(北京)有限公司建设的集成电路国产验证中试线预计明年投产,项目用于先进图像传感器、65nm-28nm 及以下逻辑技术研发线的建设,达到或接近国际同类产品的技术指标,主体生产工艺以65nm~28nm及以下制程为主。美国不断的对华禁令将使得推动半导体产业链国产化成为最重要的共识。有报道指出,在新建晶圆厂中半导体设备支出的占比普遍达到 80%。一条晶圆制造新建产线的资本支出占比如下:厂房 20%、晶圆制造设备 65%、组装封装设备 5%,测试设备 7%,其他 3%。未来国内半导体产业链上下游必将寻求更深层次的协同合作研发,这将是国产仪器设备商打入国产半导体产业链和技术升级的最佳时机。
  • 4月生效!英国升级出口管制,半导体、扫描电镜、量子量测在列
    仪器信息网讯 2024年3月11日,英国政府发布新的修订条例,即《2024年出口管制(修订)条例》(“修订条例”),更新了《2008年出口管制令》和保留的《欧盟军民两用条例》【相关链接】。修订条例在出口管制令中新增三项新条目,对某些两用货物的出口进行管制。新增条目涵盖多类半导体设备、半导体专用仪器设备,包括干法蚀刻设备、扫描电子显微镜 (SEM) 设备、集成电路、参数信号放大器、低温冷却系统和组件、EUV 掩模和掩模版、低温晶圆探测设备、量子测量设备等。2024 年 4 月 1 日起修正条例生效,将这些产品出口到任何目的地都需要许可证。三项新增出口管制条目修订条例在出口管制令附表 3 中引入了三项新条目,对某些两用货物的出口进行管制。新条目涵盖以下内容:【1】——PL9013 –禁止向任何目的地出口或"以电子手段转让"下列货物、"软件"或"技术":半导体、干法蚀刻设备、扫描电子显微镜 (SEM,应用于半导体器件或集成电路的) 、集成电路、参数信号放大器、低温冷却系统和组件、EUV 掩模和掩模版、低温晶圆探测设备和先进材料。【2】——PL9014 –禁止向任何目的地出口或"以电子手段转让"下列货物、"软件"或"技术":量子计算机、量子位设备和量子位电路、量子控制组件和量子测量设备和计算机、“电子组件”和包含某些集成电路的组件。【3】——PL9015 –禁止向任何目的地出口或"以电子手段转让"下列货物、"软件"或"技术":某些增材制造设备和专门设计的组件。出口限制国家说明出口管制联合单位(“ ECJU ”)更新了向欧盟成员国出口两用物项的现有开放一般出口许可证(“ OGEL ”),以考虑新条目。OGEL 将允许将新条目涵盖的物品出口到所有 27 个欧盟成员国以及澳大利亚、加拿大、冰岛、日本、新西兰、挪威、瑞士、海峡群岛和美国(受到某些例外情况的限制,例如它们可能用于大规模杀伤性武器的最终用途)。更新后的 OGEL 将于 2024 年 4 月 1 日生效,公司需要在使用前在SPIRE上注册。对于出口到 OGEL未涵盖的任何目的地,需要出口许可证。向 ECJU 提交的许可证申请可能需要大约两个月的时间来处理,因此任何希望获得此类商品、软件或技术出口许可证的企业都应准备好尽快提交申请。除上述措施外,《修订条例》还引入了多项其他修正案,以反映对瓦森纳安排弹药和两用清单的常规技术更新。这些修订包括对 2008 年出口管制令附表 2 和保留的双重用途法规附件一的修改。日益关注新兴技术新的控制措施是在英国政府(实际上还有许多其他西方国家)过去一年对新兴技术的关注之后推出的。2023 年 3 月发布的国家量子战略包括一项关键的优先行动,以保护“量子能力的关键领域,包括通过使用国家安全投资法和出口管制,以及为量子界提供指导和支持”。本届政府于 12 月发布了国家量子战略任务,并于 2 月宣布对量子领域进行重大投资。国家半导体战略于2023 年 5 月发布,并宣布未来十年向半导体行业投资最多 10 亿英镑。该战略包括政府承诺“与企业合作评估出口管制制度以及如何将其扩大到包括半导体在内的敏感新兴技术”。因此,在努力增加英国在这些领域的研究和开发的同时,英国政府还寻求对这些领域中流出英国的技术和产品进行更大的控制。英国并不是唯一实行这些出口管制的国家。虽然没有作为瓦森纳安排的一部分引入,但英国“与一些志同道合的国家”一起做出了这些改变。去年我们看到几个欧洲国家采取了类似的控制措施。法国今年早些时候对半导体和量子设备及技术实施了新的出口管制,并于 3 月 1 日生效。同样,西班牙于 2023 年实施了管制,荷兰政府也宣布对某些半导体向欧盟以外的目的地出口实施管制;这些措施于 2023 年 9 月生效。预计未来几个月将有更多国家宣布对这些技术进行控制。另外,英国政府现在根据《2021 年国家安全和投资法案》,对涉及量子技术、半导体和其他各种新兴技术领域的英国公司的某些收购和投资,须经过部长级批准。英国根据《修订条例》制定的双重管制清单具有同时扩大该法规定的“军事和两用”敏感部门范围的作用。下一步考虑到这些发展,重要的是半导体或量子技术领域的公司——特别是那些在英国拥有大量业务和/或活动的公司——准备好遵守新的出口管制并在需要时申请许可证。此外,在这些行业运营的英国公司将希望了解《2021 年国家安全和投资法案》对其投资轮次的潜在影响。
  • 强劲开局,半导体设备公司再迎红利期
    一、半导体设备公司迎来强劲开局受益于国内晶圆建厂潮兴起、国产化的持续推进,国内半导体设备板块维持高景气度,包括北方华创、中微公司、盛美上海、拓荆科技、中科飞测、至纯科技、华海清科、长川科技等,一众国产半导体设备厂商订单量大幅提升,相应的,各公司一季度营收也表现甚佳。北方华创营收同比增长51.36%北方华创2024年一季度实现营业收入58.59亿元,同比增长51.36%;归母净利润11.27亿元,同比增长90.40%;扣非净利润10.72亿元,同比增长100.91%。中微公司营收同比增长31.23%中微公司2024年第一季度实现营业收入16.05亿元,同比增长31.23%,而归母净利润则为2.49亿元,同比下降9.53%。尽管如此,扣非归母净利润却实现了同比增长15.40%,达到2.63亿元。盛美上海营收同比增长49.63%盛美上海2024年第一季度营业收入9.21亿元,同比增长49.63%;归母净利润8018.34万元,同比下降38.76%;扣非净利润8432.62万元,同比下降22.36%。拓荆科技营收同比增长17.25%拓荆科技2024年第一季度实现营收4.72亿元,同比增长17.25%;归母净利润为0.10亿元,同比下滑80.51%;扣非归母净利润为-0.44亿元,同比下滑325.07%。中科飞测营收同比增长45.60%中科飞测2024年第一季度实现营业总收入2.36亿元,同比增长45.60%;归母净利润3424.01万元,同比增长9.16%;扣非净利润778.09万元,同比扭亏。至纯科技营收同比增长3.48%至纯科技2024年第一季度营业收入8.11亿元,同比增长3.48%。归属于上市公司股东的净利润6358.54万元,同比增长1.45%。华海清科营收同比增长10.40%华海清科2024年第一季度实现营业总收入6.80亿元,同比增长10.40%;归母净利润2.02亿元,同比增长4.27%;扣非净利润1.72亿元,同比增长2.78%。长川科技营收同比增长74.81%长川科技2024年第一季度实现营业总收入5.59亿元,同比增长74.81%;归母净利润407.52万元,同比扭亏;扣非净利润162.57万元,同比扭亏。从最新公布的一季度业绩报告中,可以清晰观察到半导体设备行业的复苏态势正在逐步加强。Wind数据显示,2024年一季度,半导体设备板块上市公司合计实现营业收入130.03亿元,同比增长37.11%;实现归属于上市公司股东的净利润19.91亿元,同比增长26.35%,高于半导体行业整体水平。此外,国产半导体设备在性能和稳定性等方面也逐渐获得了产业链客户的信赖和认可,国产半导体设备产业整体得到加速发展。在近日2023年度科创板半导体设备专场集体业绩说明会上,多家上市公司也表示,自去年四季度开始,行业逐渐出现复苏迹象,市场需求转暖,在手订单充足。二、在手订单充足,Q2有望再迎红利本次参加业绩说明会的12家半导体设备公司,覆盖了清洗、薄膜沉积、测试等关键环节。华峰测控董事、总经理蔡琳在业绩会上介绍,半导体市场在经历一段时期的去库存后,自去年四季度开始,逐渐出现复苏迹象,景气度不断回升。截至目前,公司的订单量明显回升,大客户批量订单明显增加。随着市场转暖,以中国台湾和东南亚为代表的海外市场,也将陆续贡献订单,增厚公司业绩。晶升股份董事长、总经理李辉表示,“公司目前在手订单充足。预计未来订单增长将有很大一部分来源于公司的8英寸碳化硅长晶设备和新产品。今年碳化硅和硅设备在中国台湾地区的订单都会有较大增长。此外,国内光伏客户有在海外布局的计划,我们也在积极配合与跟进。”耐科装备董事长黄明玖表示,目前公司在手订单充足,截至4月在手订单2个多亿,且在不断增长。从目前了解到的情况看,半导体封装装备市场在复苏,订单情况将持续向好;公司挤出成型装备订单主要来自海外,增长持续稳健。预计2024年可实现销售3.5到3.8亿之间,较2023年增长50%以上。微导纳米总经理周仁表示,“截至2024年3月31日,公司半导体在手订单11.15亿元。公司进入产业化验证阶段的ALD和CVD工艺种类不断增加,并还在持续开发客户需求的IGZO、Nb2O5等新工艺。”值得注意的是,2023年度,该公司新增订单总额约64.69亿元,是去年同期新增订单的2.96倍。其中,半导体领域新增订单是去年同期新增订单的3.29倍。此外,北方华创和中微公司近期发布的季度业绩报告也积极预示,两家公司目前持有的订单量相当充裕。财报显示,北方华创2023年新签订单超过300亿元,截至2024年一季度末,公司合同负债92.5亿元,相比2023年底的83.2亿元,2022年末的72.0亿元。合同负债增加是显示公司订单也在稳步增加中。北方华创在与投资者互动时表示,公司存货增加与订单增长相匹配,目前公司在手订单饱满,存货周转正常,存货滞销风险较小。中微公司2023全年新签订单83.6亿元,同比增长32.3%,其中刻蚀设备新签订单69.5亿元,同比增长60%;MOCVD设备新签订单2.6亿元,同比减少72.2%,主要系终端市场波动影响。截至2024年一季度末,中微公司存货55.8亿,环比增长13.2亿,主要是订单增长下采购原材料,大量生产机台及向客户付运机台,其中发出商品余额19.2亿元,较期初增加10.55亿元;截至一季度末合同负债11.7亿元,较去年底增加4亿元。中微公司截至一季度的合同负债余额11.69亿元,较年初增长51.51%。中微公司称,公司2024年第一季度刻蚀设备的产量和发货量增长显著,公司收到较多发货款导致合同负债余额增加。三、两大驱动因素国产半导体设备加速渗透近年来在政策推动下,国产化持续提速,尤其在半导体设备这一领域取得较大进展。一方面,国产设备厂商加大技术研发投入的同时,其技术实力有所提升。另一方面,随着美日荷设备管理新规陆续落地,国产半导体设备得到更多来自晶圆厂和封测厂的工艺验证机会。在上述两大因素驱动下,半导体设备厂商有望迎来发展黄金期。 半导体制造分为前道工艺(晶圆制造)和后道工艺(封装)。前道工艺设备侧重于半导体的制造和加工,涵盖氧化/扩散,光刻,刻蚀,清洗,离子注入,薄膜生长和抛光等步骤,包括光刻机、刻蚀机、CVD 设备、PVD 设备、离子注入设备和 CMP 研磨设备等,后道设备则主要用于半导体的封装和性能测试,包括测试机、探针台和分选机等。一般来说,前道设备的技术难度较高,生产工序繁多,在芯片制造过程中也是技术难度较大、资金投入最多的环节。从销售额来看,前道设备在半导体专用设备中成本占比约为 80%,占据半导体专用设备主要市场份额。纵观全球市场,应用材料在刻蚀设备、CVD、PVD、量测/检测设备、热处理设备、CMP设备市场中均占据较多的市场份额,泛林集团则在刻蚀设备、CVD、清洗设备等市场中市占率靠前,除此之外,泰瑞达、科磊半导体、Cohu等美企也在细分半导体设备市场中居于前列;日本企业中,以东京电子、日立、迪恩士、尼康、佳能、东京精密、DISCO等为代表的公司在半导体设备细分市场市占率突出,刻蚀、ALD、清洗设备、划片机等优势较明显;而ASML、ASM等荷兰企业则专长于光刻机、ALD设备等。在前道领域国内已有部分企业在全球竞争中突出重围,但整体而言市占率均较低。在刻蚀设备市场,中国的主要厂商有北方华创、中微公司;热处理设备市场有北方华创、屹唐半导体等国内厂商,但各细分领域国内参与厂商数目及各家市占率不高,多数市场仍被外企主导。虽然在前道晶圆制造上,国产厂商目前还没有取得显著突破,但在后道领域,国产半导体设备厂商在测试机、分选机、探针台等设备方面的配套已相对完善,并且以长川科技、华峰测控为代表的国产半导体设备厂商在SoC测试机、探针台等产品的研发和市场拓展也快速推进,整体已在后道设备市场具备一定的市场份额优势。根据美国半导体行业协会与波士顿咨询合作发布的《半导体供应链的弹性正在显现》报告显示,中国大陆目前占全球设备支出的 20%,占全球设备进口的 18%。美国、日本和荷兰的出口管制提高了开发国内替代品的紧迫性。据报道,至少有 5 家中国生产商正在进行批量生产;中小企业创建了光刻示范设备;北方华创和中微半导体已进入更大节点的蚀刻市场。半导体设备及材料是半导体芯片制造的基石,晶圆代工环节更是产业链中不可或缺的核心环节。根据二十大报告中指出,“以新安全格局保障新发展格局”,坚持安全与发展被摆在了更加重要的位置。目前,本土半导体设备产业仍处于成长早期,随着各厂商不断建立与加强自身的竞争优势,国产半导体设备有望加速渗透。晶圆厂产能维持高增长SEMI与TechInsights合作编制的《2024年第一季度半导体制造业监测报告》Semiconductor Manufacturing Monitor (SMM) Report指出,随着电子板块销售额的上升、库存的稳定和晶圆厂产能的增加,2024年第一季度全球半导体制造业出现了改善迹象。预计下半年行业增长将更加强劲。根据SEMI此前报告显示,今年一季度全球晶圆厂产能增长1.2%,预计二季度将继续增长1.4%。其中,中国大陆依然是全球晶圆厂产能增加最多的地区。据SEMI统计,2022~2024年,全球半导体产业计划将有82座新设施投产,其中2023年、2024分别有11座及42座投产,涵盖4英寸(100mm)到12英寸(300mm)晶圆的生产线。SEMI预计中国大陆芯片制造商将在2024年开始运营18个项目,产能将从2023年的每月760万片晶圆增加13%,2024年将达到每月860万片晶圆。即2024年开始运营的中国大陆晶圆厂,将占全球42%以上。半导体设备的周期属性与下游晶圆厂扩产节奏息息相关。根据SEMI数据,2023年全球晶圆厂产能利用率、设备支出均处于低谷,预计2024年全球晶圆厂设备支出将同比反弹15%,有望带动2024年全球半导体设备销售额同比增长4.37%。在国内晶圆厂逆势扩产和外部加强对设备出口管制的背景下,国产设备验证机会增多,国产化将持续推进。此外,先进制程的发展、工艺流程的改进等,都给半导体带来新的增长空间。综上所述,半导体设备公司订单及交付量或将在2024年维持高增长。2023年,半导体行业处于周期底部,尽管有需求强劲的中国市场作为支撑,身为半导体产业链“卖铲人”的全球设备厂商的出货情况也难免受挫,包括泛林、东京电子、科磊、爱德万、泰瑞达在内的设备厂商均出现业绩下滑。反观国内市场,自2020年以来,中国大陆已经成为全球最大半导体设备市场,在国产化的黄金浪潮推动下,除测试设备外,本土半导体设备厂商2023年业绩均呈现出高增长的趋势,从当前情况来看,国产半导体设备厂商或许有望在2024年迎来新阶段的红利期。不可否认的是,中国半导体设备行业与国际领军企业之间依然存在显著的实力差距,2024年国产厂商还需砥砺前行。
  • 半导体设备业务收入暴跌 劲拓股份上半年营收同比下降17.91%
    8月9日,劲拓股份发布半年度业绩报告称,2024年上半年,公司实现营业收入3.28亿元,同比下降17.91%;归母净利润3580.51万元,同比增长9.14%;扣非净利润3454.34万元,同比增长24.65%。分业务来看,上半年劲拓股份电子装联业务报告期内实现营业收入 29,784.15 万元,占报告期营业总收入的 90.82%,较上年同期增长6.68%。伴随着 5G 通讯、物联网、新能源等技术广泛应用,催生新型硬件市场需求增长,有望带来结构性市场机会;各类电子元件、半导体器件小型化、集成化、轻薄化、精细化趋势,对制造工艺水平要求不断提高,进而对相关专用设备性能水平、智能化水平等提出更高的要求。公司作为电子装联设备领域领先厂商,有望发挥领先优势,进一步提升相关业务市场占有率。在其他业务方面,劲拓股份根据市场需求和竞争情况,对光电显示业务的部分订单进行战略选择,主要保留高毛利率订单;报告期内同时受到客户验收进度影响,光电显示业务确认营业收入485.53万元,同 比下降 94.51%。公司半导体专用设备业务报告期内受到市场环境和验收确认收入进度影响,累计实现营业收入 520.77 万元,同比下降 65.10%。劲拓股份指出,2024 年上半年,公司落实业务战略,继续推动半导体业务、光电显示业务发展;其中,在半导体专用设备业务方面,公司基于现有的国产空白产品,继续为下游典型封测厂商供货,积极开发和储备新客户、通过质优产品和服务提升品牌影响力。在光电显示业务方面,公司报告期内积极把握车载、中大尺寸显示模组需求增加的市场机遇;成功实现产品应用领域向Micro LED领域延伸,推出新产品巨量转移侧边膜材贴附设备等。
  • 第三代半导体专利分析——氮化镓篇
    第三代半导体材料主要是以碳化硅(SiC)、氮化镓(GaN)、氧化锌(ZnO)、金刚石、氮化铝(AlN)等为代表的宽禁带半导体材料。与第一、二代半导体材料相比,第三代半导体材料具有更宽的禁带宽度、更高的击穿电场、更高的热导率、更高的电子饱和速率及更高的抗辐射能力,更适合于制作高温、高频、抗辐射及大功率器件,通常又被称为宽禁带半导体材料(禁带宽度大于2.3eV),亦被称为高温半导体材料。从目前第三代半导体材料及器件的研究来看,较为成熟的第三代半导体材料是碳化硅和氮化镓,而氧化锌、金刚石、氮化铝等第三代半导体材料的研究尚属起步阶段。碳化硅(SiC)和氮化镓(GaN),被行业称为第三代半导体材料的双雄。专利一般是由政府机关或者代表若干国家的区域性组织根据申请而颁发的一种文件,这种文件记载了发明创造的内容,并且在一定时期内产生这样一种法律状态,即获得专利的发明创造在一般情况下他人只有经专利权人许可才能予以实施。在我国,专利分为发明、实用新型和外观设计三种类型。专利文献作为技术信息最有效的载体,囊括了全球90%以上的最新技术情报,相比一般技术刊物所提供的信息早5~6年,而且70%~80%发明创造只通过专利文献公开,并不见诸于其他科技文献,相对于其他文献形式,专利更具有新颖、实用的特征。可见,专利文献是世界上最大的技术信息源,另据实证统计分析,专利文献包含了世界科技技术信息的90%~95%。如此巨大的信息资源远未被人们充分地加以利用。事实上,对企业组织而言,专利是企业的竞争者之间惟一不得不向公众透露而在其他地方都不会透露的某些关键信息的地方。因此,通过对专利信息细致、严密、综合、相关的分析,可以从其中得到大量有用信息。基于此,仪器信息网特统计分析了第三代半导体中氮化镓的专利信息,以期为从业者提供参考。(本文搜集信息源自网络,不完全统计分析仅供读者参考,时间以专利申请日为准)专利申请趋势分析(1994-2020)申请人数量趋势分析(1994-2020)发明人数量趋势分析(1994-2020)本次统计,以“氮化镓”为关键词进行检索,共涉及专利总数量为9740条(含世界知识产权组织254条专利),其中发明专利8270条、实用新型专利1169条和外观专利47条。从统计结果可以看出可以看出,从1994年开始,氮化镓专利数量和专利申请人数量整体呈增长趋势,只在2012-2014年之间和2020年呈下降趋势。这表明氮化镓的研发投入不断增加,相关企业和科研院所数量也在不断增加。从专利发明人数量趋势可以看出,从事氮化镓相关研究的人数也在逐年增加,氮化镓已成为研究热点。申请人专利排行发明人专利量排行那么从事相关研发工作的主要有哪些单位呢?从申请人专利量排行可以看出,在专利申请人申请量排名中,中国科学院半导体研究所的表现最为突出共申请专利314件,三菱电机株式会社(排名第二)与电子科技大学(排名第三)也不甘示弱,分列第二与第三位。具体来看,半导体所的专利主要集中于材料生长、加工工艺等方面;三菱电机的专利主要集中于功率器件制造、半导体装置等方面。在发明人专利量排行中,李鹏的专利量最多,其次为胡加辉、李晋闽等人。李鹏发明的专利主要归华灿光电所属,专利集中于氮化镓发光二极管领域的研究。据了解,华灿光电成立于2005年的华灿光电股份有限公司,是我国领先的半导体技术型企业。目前有张家港、义乌、玉溪三大生产基地。历经十几年的发展,华灿光电已成为国内第二大LED芯片供应商,国内第一大显示屏用LED芯片供应商。2015年收购云南蓝晶,整合LED上游产业资源。专利申请区域统计通过对专利申请区域进行统计能够了解到目前专利技术的布局范围以及技术创新的活跃度,进而分析各区域的竞争激烈程度。从专利申请区域可以看出,氮化镓专利申请主要集中于广东省、江苏省等,这些地区都是半导体产业发达的地区,其在第三代半导体方面的布局也快人一步。值得注意的是,日本企业在国内也有很多专利布局。专利技术分类统计从专利技术分类来看,大部分氮化镓的专利都集中于电学领域。这主要是因为氮化镓是功率器件和射频器件的重要半导体材料,在电力电子、射频芯片等领域都要重要的应用。具体来看,相关研究主要集中于光发射的半导体器件、半导体装置或设备、半导体材料在基片上的沉积等方面。
  • 中国半导体企业50强榜单出炉
    p   2016年,中国集成电路的进口额是石油进口额的两倍,由于技术门槛高、投资规模巨大、高端人才稀缺,作为新兴的战略性产业,中国集成电路企业与世界巨头相比还有不少差距。 /p p   但我们看到,随着政策层面的重视,各地方政府及社会资本积极推进,中国半导体产业迎来了爆发式发展的前夜。 /p p   一批优秀的半导体企业脱颖而出,通过“技术+资本”,利用资本市场实现快速成长。IC设计、晶圆代工、封装测试、半导体材料、半导体专用设备等细分领域涌现出领头企业,部分企业甚至成为细分领域的世界翘楚。这些企业代表了中国集成电路产业崛起的雄心。 /p p   在中国半导体崛起的背景下,《英才》杂志重磅推出专题策划,专访其中的领袖企业执掌者,记录这些企业的创新发展道路。同时,基于企业规模,技术研发实力等因素,《英才》杂志推出中国半导体企业50强榜单。 /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201803/insimg/c949c86a-cfff-49f4-ac10-e0775d61465f.jpg" title=" 11.jpg" / /p
  • 半导体设备厂商和研科技拟A股IPO
    1月17日,证监会披露了中信建投证券关于沈阳和研科技股份有限公司(简称:和研科技)首次公开发行股票并上市辅导备案报告。资料显示,和研科技成立于2011年,公司以沈阳为中心,在苏州设有华东研发中心(苏州和研精密科技有限公司),和研科技是一家专业从事半导体磨划设备的研发、销售、咨询、服务于一体的多元化公司,专注于硅片、玻璃、陶瓷、石英、铌酸锂、碳化硅、树脂等硬脆材料的精密切割加工。和研科技主营6~12英寸DS系列精密划片机、JS系列全自动切割分选一体机等半导体专用精密切割设备,广泛应用于集成电路、分立器件、光电器件及敏感元件等制造领域。秉承着“不断开拓,勇于创新”的理念,和研科技持续强化研发投入,近3年研发投入占营业收入的比重稳步攀升,已掌握多项核心技术,拥有发明专利30项,软件著作权11项,实用新型专利16项,外观专利2项。2022年12月30日,和研科技半导体设备生产基地项目签约落户沈阳辉山经济技术开发区。该项目计划投资3.15亿元,拟建设占地95亩的半导体精密设备生产基地项目,项目达产后,预计第一年实现产值5亿元,三年实现产值10亿元。不久前,和研科技获国家集成电路产业投资基金二期股份有限公司(国家大基金二期)投资,本轮融资为和研科技B+轮融资,目前已完成工商变更登记。
  • 拟定增募资10亿元,某半导体设备商将扩产升级
    日前,芯源微披露其定增预案,拟向特定对象发行股票数量不超过公司总股本的30%,即本次发行不超过2520万股,募集总金额不超过10亿元(含本数),扣除发行费用后的净额将用于上海临港研发及产业化项目、高端晶圆处理设备产业化项目(二期)、补充流动资金。图片来源:芯源微公告截图其中,上海临港研发及产业化项目位于上海闵行经济技术开发区临港园区。本项目预计建设期为30个月,由公司全资子公司上海芯源微企业发展有限公司实施。本项目计划总投资额为6.40亿元,拟投入募集资金4.70亿元,其余以自筹资金投入。本项目建成并达产后,主要用于研发与生产前道ArF光刻工艺涂胶显影机、浸没式光刻工艺涂胶显影机及单片式化学清洗机等高端半导体专用设备。高端晶圆处理设备产业化项目(二期)位于辽宁省沈阳市浑南区。本项目预 计建设期为30个月,计划总投资额为2.89亿元,拟投入募集资金2.30亿元,其余以自筹资金投入。本项目建成并达产后,主要用于前道I-line与KrF光刻工艺涂胶显影机、前道Barc(抗反射层)涂胶机以及后道先进封装Bumping制备工艺涂胶显影机。为满足公司日益增长的运营资金需要,本次募集资金中的3.00亿元拟用于补充流动资金。本次募集资金补充流动资金将用于支持公司持续推出新产品、满足公司产业扩张需求等。公告指出,公司主要从事半导体专用设备的研发、生产和销售,产品包括光刻工序涂胶显影设备(涂胶/显影机、喷胶机)和单片式湿法设备(清洗机、去胶机、湿法刻 蚀机),可用于8/12英寸单晶圆处理(如集成电路制造前道晶圆加工及后道先进封装环节)及6英寸及以下单晶圆处理(如化合物、MEMS、LED芯片制造等环节)。公司专注于高端半导体专用设备领域,通过持续的技术研发和供应链建设,不断开拓新产品、新领域,提升公司的核心竞争力。本次募集资金投资项目围绕公司主营业务展开,对公司现有业务起到了补充和提升的作用,符合公司发展战略。上海临港研发及产业化项目建设后,公司将在前道先进制程设备研发及产业化领域实现进一步突破,推出更高工艺等级的前道涂胶显影设备与清洗设备产品,进一步强化公司在高端设备领域的技术优势并丰富产品结构。高端晶圆处理设备产业化项目(二期)建成后,公司将扩充前道晶圆加工及后道先进封装环节涂胶显影设备产能,满足业务规模快速增长的需求,进一步提升公司的盈利能力和综合竞争实力。此外,基于行业当前发展趋势和竞争格局的变化,公司近年来不断扩大的业务规模,未来几年公司仍处于成长期,生产经营、市场开拓、研发投入等活动中需要大量的营运资金。通过本次发行募集资金补充流动资金,可在 一定程度上解决公司因业务规模扩张而产生的营运资金需求,缓解快速发展的资金压力,提高公司抗风险能力。据公告介绍,经过多年的积累,公司在光刻工序涂胶显影设备和单片式湿法设备领域已具备一定的客户优势。在集成电路前道晶圆加工环节,公司生产的前道涂胶显影设备在多个关键技术方面取得突破,已陆续获得上海华力、中芯绍兴、厦门士兰集科、上海积塔、株洲中车、青岛芯恩、中芯宁波、昆明京东方等多个前道客户订单及应用。公司生产的集成电路前道晶圆加工领域用单片式清洗 机Spin Scrubber设备通过持续的改进、优化,已经达到国际先进水平并成功实现进口替代,已在中芯国际、上海华力、厦门士兰集科等多个客户处通过工艺验证,并获得国内多家Fab厂商的批量重复订单。在集成电路后道晶圆加工环 节,公司生产的后道涂胶显影设备与单片式湿法设备,已经从先进封装领域、LE领域拓展到MEMS、化合物、功率器件、特种工艺等领域,作为主流机型应用于台积电、长电科技、华天科技、通富微电、晶方科技、华灿光电、乾照光电、澳洋顺昌、中芯绍兴、中芯宁波等大厂。
  • 第十届(2022年)中国半导体设备年会在锡开幕
    2022年10月28日,第十届中国半导体设备年会暨半导体设备与核心部件展示会在无锡太湖国际博览中心开幕。中国科学院院士褚君浩,中国集成电路创新联盟秘书长、中国半导体协会集成电路分会理事长叶甜春,江苏省工信厅副厅长池宇,无锡市副市长周文栋出席会议并致辞。会议由中国电子专用设备工业协会主办。中国电子专用设备工业协会常务副秘书长金存忠主持了高峰论坛。中国半导体设备年会伴随着中国半导体产业走过了十个年头。十年来,中国半导体设备市场规模持续发展,中国半导体设备行业的销售收入、研发投入都保持了持续增长的态势。金存忠说,无锡市是我国半导体设备产业的重镇,无锡高新区半导体产业的规模已经破千亿,形成了半导体全产业链的发展格局。中国集成电路创新联盟秘书长、中国半导体协会集成电路分会理事长叶甜春在致辞中强调,既然我们有自己的体系,就要以我为主,从系统应用、设计、制造、封测、装备、材料、零部件形成内循环,然后把国际资源接进来,形成国际国内双循环。重要的是打造一个以我为主的全球化的新生态,现在看来这个任务越来越迫切了。江苏省工信厅副厅长池宇致辞中指出。当前世界百年未有之大变局加速演进,新冠肺炎疫情影响深远,国际环境错综复杂,围绕科技制高点的竞争空前激烈,全球集成电路产业发展格局面临深刻调整,产业发展面临新的问题和挑战。与此同时,新一轮科技革命的产业变革深入发展。人工智能、大数据、云计算、区块链等技术不断融合创新,也给产业发展提供了广阔的空间。无锡市副市长周文栋在致辞中指出,无锡是长三角区域中心城市,不仅有深厚的文化底蕴、优越的生态禀赋广受青睐,还以厚实的产业基础,倍受推崇。从60年前的742厂成立以来,无锡一路见证和参与了中国集成电路产业的起步发展,经过了半个多世纪的精心培育,集成电路产业已成为无锡地标产业,闪亮名片,全局地位突出。中国科学院院士褚君浩在高峰论坛上作了《智能时代背景下的仪器设备技术》精彩演讲,受到与会者的高度关注和好评。无锡高新区党工委委员、新吴区委常委、无锡高新区管委会副主任、新吴区政府副区长顾国栋作了《不忘初芯 聚焦硬核》重点推介。高新区作为无锡市集成电路产业发展的主阵地,多年来积极培育头部企业,努力延伸上下游产业链,无锡高新区集成电路产业健康快速的发展吸引了全国各地产业界的注视。高峰论坛上,上海陛通半导体能源科技有限公司市场销售副总裁陈浩作了题为《国内半导体产能的快速提升将面临设备供给的挑战》演讲;江苏微导纳米科技股份有限公司副董事长兼首席技术官黎微明演讲了《原子级工程:原子层沉积技术的展望》。复旦大学积塔集成电路联合实验室副主任、研究员陈俊宇博士,作了《国产半导体设备进程》的演讲;盛美半导体设备(上海)股份有限公司副总经理陈福平作了《半导体设备产业发展的机遇与挑战》的演讲。出席本次活动的还有无锡市政府各主要职能部门负责人、各板块分管负责人。还有中国电子专用设备工业协会会员单位的企业家,以及产业界、高等院校、研究机构、投融资服务机构和有关媒体的代表。高峰论坛作为国内设备行业权威交流平台,中国半导体设备年会在举办十周年之际,会议同期举办国产半导体装备、零部件成果展,本次展览参与企业百余家,展馆面积近五千平方,首次汇聚众多行业龙头企业,集中展示半导体专用设备,为打通产业链上下游提供良好机遇,不失为一场业界盛会。
  • 盛美半导体首台清洗设备入驻芯物科技!
    2021年6月7日,上海芯物科技有限公司12吋中试生产线工艺设备搬入仪式在新傲工厂举行,盛美半导体设备(上海)股份有限公司首台清洗设备入驻芯物科技!据国家智能传感器创新中心负责人在致辞时介绍,12英寸先进传感器特色工艺研发中试平台超过80%的设备均采用国产设备,为国产装备提供验证平台,加速传感器产业链国产化,实现自主可控。盛美半导体董事长 王晖 先生王晖先生在致辞时说:“能够获得和芯物科技一起成长的机会,我代表盛美向芯物科技表示衷心的感谢!在这个充满机会与挑战的时代,期待未来和芯物科技一起,开发一些全球领先的差异化特色工艺和设备,把中国的MEMS做到世界前列!”设备搬入合影盛 美 前道刷洗设备盛美本次进驻芯物科技的设备为前道刷洗设备,该设备采用单片腔体对晶圆正背面依工序清洗,可进行包括晶圆背面刷洗、晶圆边缘刷洗、正背面二流体清洗等清洗工序。设备占地面积小,产能高,稳定性强,多种清洗方式灵活可选,且可用于芯片制造的中前段至后段各道刷洗工艺。据了解, 盛美主要从事半导体专用设备的研发、生产和销售,主要产品包括半导体清洗设备、半导体电镀设备和先进封装湿法设备等。公司坚持差异化竞争和创新的发展战略,通过自主研发的单片兆声波清洗技术、单片槽式组合清洗技术、电镀技术、无应力抛光技术和立式炉管技术等,向全球晶圆制造、先进封装及其他客户提供定制化的设备及工艺解决方案,有效提升客户的生产效率、提升产品良率并降低生产成本。
  • 王思聪投资成立半导体公司,经营范围含半导体器件专用设备制造
    据企查查信息,1月28日,九桓碳构科技(北京)有限公司(以下简称“碳构科技”)成立,注册资本为8000万元人民币,法定代表人为岂雨泽,经营范围包含电子专用材料制造;半导体器件专用设备制造等。股东信息显示,碳构科技由南京中电芯谷高频器件产业技术研究院有限公司、北京普思投资有限公司(以下简称“普思投资”)、海南自贸区伟桓企业管理咨询有限公司、海南晶衡科技合伙企业(有限合伙)等8家股东共同持股,各股东具体投资金额暂未披露。值得一提的是,普思投资由王思聪100%持股。图片来源:企查查信息截图
  • 默克布局半导体设备领域!1.55 亿欧元收购半导体量检测设备商Unity SC
    近日,德国制药巨头默克(Merck)全球健康创新基金计划以1.55亿欧元(约折合 12.27 亿人民币)的首期付款加上里程碑付款,收购半导体行业计量和检测仪器供应商Unity SC。 默克集团创建于 1668 年,拥有约 350 年历史,总部位于德国达姆施塔特市。该集团致力于创新型制药、生命科学以及前沿功能材料技术,以技术为驱动力,为患者和客户创造价值。默克在全球 67 个国家和地区拥有 154 个分支机构以及 38,000 名员工。其业务主要分为四大分支:默克雪兰诺业务分支专注于包括生殖、肿瘤、心血管等领域的处方药研发;消费者保健业务分支推动研究和创新,旗下品牌行销全球 100 多个国家;默克密理博业务分支为全球生命科学用户提供完整的产品线和强大的创新能力;功能性材料业务分支则提供液晶显示屏、效果颜料等特殊化学制品。2023 年 6 月,默克在《福布斯全球企业 2000 强》榜单中排名第 73 位。默克公司表示,此次收购扩大了其推进人工智能应用所需的关键技术组合。默克执行董事会成员兼电子业务首席执行官凯贝克曼(Kai Beckmann)说道:“我们坚信 3D 计量工具将进一步推动半导体行业的发展。将计量技术纳入我们的产品组合,使我们能够提供更多的材料和更多的解决方案,有效地解决客户在开发速度更快、功能更强大、效率更高的芯片时所面临的挑战。” 默克在半导体量检测设备行业的重要战略布局,不仅扩大了默克的业务版图,也将为默克的发展带来新的机遇,同时也可能对整个半导体行业产生深远影响。据悉,UnitySC 是一家总部位于法国的半导体计量和检测设备提供商。它将先进的自动光学检测和 3D 成像技术,与深入的焦点线扫描、时间模式干涉测量、光谱测量和相移分析相结合,提供适应特定工业需求和限制的标准和定制解决方案,此外提供专用于其他类型高端工艺的全套设备,特别是化合物半导体、透明基板或特殊设备的图案化和非图案化缺陷检测。UnitySC 是半导体量检测领域的全球领导者,拥有约 160 名员工,其中 70 名为研发工作人员。其提供的精密计量测量设备能够优化质量和产量,可用于优化人工智能、高性能计算领域以及高带宽存储器应用的芯片制造成本。目前这一收购仍需与法国劳资委员会协商,并获得相关当局的批准。据悉,该交易预计将在今年年底前完成,后续进展备受业界关注。
  • 晶盛机电成立子公司,含半导体器件专用设备制造业务
    近日,浙江晶诚新材料有限公司(以下简称“晶诚新材料”)成立,法定代表人为毛全林,注册资本1000万元人民币,经营范围包含电子专用材料制造;非金属矿物制品制造;半导体器件专用设备制造;新材料技术研发;石墨及碳素制品制造;石墨及碳素制品销售;新材料技术推广服务;电子专用材料研发;电子专用材料销售。股东信息显示,晶诚新材料的大股东为浙江晶盛机电股份有限公司。后者是专注于“先进材料、先进装备”的高新技术企业,围绕硅、蓝宝石、碳化硅三大主要半导体材料开发出一系列关键设备,并延伸到化合物衬底材料领域。
  • 22家企业回应日本出台半导体制造设备管制措施,整体影响不大
    日本经济产业省(经产相)5月23日公布了《关于根据出口贸易管理令附表第一及外汇令附表的规定修改部分规定货物或技术的省令等的修改概要》的文件。根据外汇及外国贸易法(外汇法)对货物等省令进行了修改,正式决定将至今为止要求公开评论的尖端半导体制造装置等23个品种添加到出口管理的限制对象中。经过两个月的周知期,将于2023年7月23日施行。(清单可查看:《日媒:7月23日起将实施先进半导体制造设备等23项商品出口限制 》)小编也关注了一些半导体公司的动态,发现在投资者关系互动平台上,众多半导体相关企业的投资者纷纷提出了关于“日本出台相关限制的影响”的相关问题,22家上市企业董秘也做出了回答!整体来看,目前日本出台的半导体制造设备的管制措施影响不大,对于部分半导体设备企业甚至产生了积极影响。投资者 问 劲拓股份:董秘您好!日本正式出台半导体制造设备出口管制措施,对于半导体设备国产化方面及专利数量较为突出的劲拓来说,是否是一项利好,是否在业务上有实际上的业绩增长的情况?在半导体设备需要国产突围的关键时刻,是否会加速国企入驻进程?谢谢!董秘回答(劲拓股份):尊敬的投资者,您好!半导体专用设备总体国产化率较低、供给和需求不平衡,具有较大的进口替代空间;在当前国际贸易摩擦的背景下,国产替代的需求则更加迫切。公司半导体专用设备为国产空白设备,品质和性能对标美国、德国等国技术和产品成熟度较高的企业,同时具有价格、交期、售后服务等方面的优势,具有较强的进口替代实力。2022年系公司半导体专用设备规模化销售元年,未来有着较大的市场份额提升空间;公司将积极把握市场机遇,促进半导体专用设备业务高质量发展和收入规模增长。 公司控股股东拟筹划表决权委托暨控制权变更事项的具体进展,敬请以公司披露于巨潮资讯网的公告为准。感谢您的关注和支持!投资者 问 云南锗业:董秘你好!日本通过限制出口半导体设备措施,请问是否对公司造成影响,公司半导体化合物生产设备是否全部来自国产或者国产有替换?董秘回答(云南锗业):您好,公司子公司云南鑫耀半导体材料有限公司设备种类较多,部分设备通过市场采购获得,其中包括了国产及进口设备,也有部分设备是根据生产工艺开发定制。根据目前公开信息,并未发现对公司产生影响。 感谢您对公司的关注!投资者 问 大族激光:董秘好,日本禁止出口半导体设备,目前大族在半导体设备制造方面有哪些相应设备,目前能否做到独立自主,能否做到国产替代,在高端半导体设备方面有哪些新规划新布局。谢谢董秘回答(大族激光):尊敬的投资者,您好!公司半导体设备主要产品为激光表切、全切设备,激光内部改质切割设备以及刀轮切割设备等前道晶圆切割设备;焊线设备、固晶设备、测试编带设备等后道封测设备以及晶圆自动化传输设备。谢谢。投资者 问 沪电股份:董秘您好!请问日本出台对半导体材料出口管制,对公司原材料采购及产品销售是否产生影响?还有请问公司目前产能优良率是多少?销售订单能见度是多久?董秘回答(沪电股份):公司产品为印制电路板,关于半导体材料方面的问题建议您去问询相关半导体公司;公司2022年度报告披露的全年整体良品率约92.74%;印制电路板为定制化产品,销售订单取决于市场整体需求,谢谢!投资者 问 北京君正:董秘你好。请问日本半导体限制对贵司原材料采购和产品制造过程中是否产生影响,谢谢董秘回答(北京君正):您好!目前对我们没有影响。谢谢!投资者 问 捷佳伟创:董秘你好:贵公司的半导体设备是否能替代日本对我国的半导体设备封锁和限制。董秘回答(捷佳伟创):您好!公司开展半导体湿法清洗类设备研发,清洗类设备在经营制造、光刻、刻蚀、沉积等关键制程及封装工艺中均为必要环节,可实现清洗类设备国产化,打破长期被进口设备卡脖子的局面,极大的提高国产设备市场占有率,为公司进入高阶半导体设备行业奠定基础。此外,公司开展的碳化硅高温退火炉/氧化炉研发项目完成后将促进大尺寸基片碳化硅器件制造设备的研制,实现碳化硅器件制造设备的国产化。谢谢!投资者 问 凯格精机:最近,欧美及日本政府制裁我国半导体设备,对本公司半导体设备关键零部件引进,是否对公司正常生产造成大的影响?董秘回答(凯格精机):您好!公司不受上述事项影响,感谢您的关注!投资者 问 TCL科技:近日日本拟加入围堵中国半导体制裁队伍,考虑到半导体显示面板,公司好多材料来自日本,当时日本也制裁过韩国面板企业,请问公司是否考虑开始扶持日本以外的面板材料供应商,确保日本不会加入对话制裁队伍,导致公司主营业务受影响,公司应该联合深圳市、中国科研机构,为面板材料国产化努力,确保不被日本制裁,谢谢董秘回答(TCL科技):您好!目前显示产业链国内配套建厂体系成熟,本地化供应比例较高并持续提升趋势明确,公司也会在保障经营稳定的情况下加快提升供应链的国产化占比。感谢您对公司的关注。投资者 问 康拓红外:请问 日本限制23种半导体设备材料出口,是否会对公司业务构成影响?董秘回答(康拓红外):尊敬的投资者您好!感谢您的关注!国际局势变动未给公司业务带来影响。投资者 问 万润股份:日本限制半导体制造材料出口后,贵公司对日企销售的光刻胶单体及光刻胶树脂会不会受到影响?谢谢。董秘回答(万润股份):您好,公司目前出口日本的业务未受到影响。感谢关注。投资者 问 旷达科技:董秘你好,目前日本已经正式发布出台对半导体设备出口的管制,请问对公司将来的采购设备有没有影响?合肥的工厂目前进展是否顺利?董秘回答(旷达科技):本次日本半导体设备出口管制对芯投微设备采购没有影响。芯投微设备采购计划已大部分完成下单。投资者 问 国风新材:董秘先生,鉴于日本也加入了限制半导体设备和材料的行列,国产光刻胶替代迫在眉睫,请问贵司的光刻胶项目预计什么时间可以落地,该项目公司有哪些优势,能否突破卡脖子现状,希望董秘可以把该项目的进展情况详细些告知广大投资者,谢谢!董秘回答(国风新材):尊敬的投资者,您好!目前项目研发正在有序推进中,真诚感谢您的关注和支持!祝您投资顺利!投资者 问 高新发展:董秘好,日本政府正式出台半导体制造设备出口管制措施,而公司募投项目核心设备主要从日本进口,请问管制措施对公司功率半导体项目的影响程度如何?谢谢。董秘回答(高新发展):您好,感谢您对公司的关注。据了解,此次日本政府出台的半导体制造设备出口管制措施主要针对先进制程。经与各设备供应商进行确认,芯未半导体所订购设备不在限制范围内。同时,芯未半导体产线建设所需核心设备均已完成采购合同的签订,按计划将陆续到货,预计在2023年12月底前全部完成交付。因此,日本政府出台的半导体制造设备出口管制措施,目前对公司芯未半导体建设无影响。谢谢!投资者 问 机器人:赵董秘,您好!3月31日,日本经济产业省宣布,计划加强对6大类23种高性能半导体制造设备出口管制。近日,中国半导体协会也就此次管制发出了严正声明,此次管制范围过于宽泛,对我国半导体相关企业造成很大困扰。新松公司及子公司是否有能力生产被限制的6大类23种高性能半导体制造设备中的设备,进行国产替代?董秘回答(机器人):您好,公司半导体装备业务产品主要为自主研发的真空机械手及集束型设备,包括:大气机械手、真空机械手等系列产品、EFEM、真空传输平台,主要应用在刻蚀、CVD、PVD、CMP、Descum、立式炉等工艺环节及领域,服务的下游行业是半导体工艺设备厂商。公司始终以产业安全,实现自主可控为目标,是国内较早能做到半导体机械手产品产业化的公司。目前,公司半导体制造产品并不直接与日本本次管制名单相关,相关产品也并不依赖日本进口。感谢您的关注。投资者 问 江丰电子:请问3月31日日本经济产业省宣布,将修改《外汇和外贸法》配套行政实施条例,加强对6大类23 种高性能半导体制造设备出口管制。贵公司是否存在生产经营必须的半导体原材料和半导体制造设备唯一依赖日本进口情况?设备和原材料境外供应商是否有国产替代备选供应商预案?董秘回答(江丰电子):您好!公司生产使用的关键设备以自主研发为主,主要联合国内设备厂商设计定制。同时,公司将持续努力构建安全稳定的供应链。感谢您的关注!投资者 问 维信诺:日本对中国半导体设备出口管制对公司有影响吗?董秘回答(维信诺):感谢您的关注。公司一直致力于强化上下游产业链的协同创新,不断提升和推进技术和材料的国产化进程。未来公司将持续努力推进材料、设备等国产化,扩大战略合作布局,建立可靠稳定的供应链保障体系,降低生产成本,确保供应安全可控。谢谢!投资者 问 杭氧股份:近期,日本政府限制向我国出口23种商品,其中包含高纯度氮气、高纯度氧气、高纯度氩气、高纯度氦气、高纯度氢气、高纯度氯气、高纯度氟等气体商品,请问公司有无相关产品?若有,哪些品种能国产替代,现有销量如何?谢谢董秘董秘回答(杭氧股份):您好,公司正积极拓展半导体相关气体领域,目前可以为半导体行业提供氧气、氮气、氩气以及部分特种气体。谢谢。投资者 问 北方华创:日本对国内14纳米以下先进制程进行封锁,公司有无可以替代的产品?或者公司有无14纳米以下的先进制程董秘回答(北方华创):您好,公司可为集成电路领域提供各种技术代的工艺设备。感谢关注!投资者 问 智立方:日本半导体出口限制,对公司有何影响?国产替代么?董秘回答(智立方):尊敬的投资者,您好。公司目前经营正常。公司半导体设备布局主要集中在显示类半导体(mini-led、micro-led)、分选机设备、AOI类设备;光通类(激光、高功率芯片为例),包括排巴机、AOI设备。公司将持续投入研发资源,加速推进国产替代进程。感谢关注。投资者 问 至纯科技:日本发布的半导体设备出口限制措施对贵公司是否有重大影响?是否会对从日本进口的重要零部件产生阻碍?甚至无法获得?董秘回答(至纯科技) :日本对半导体设备的限制措施中,其中对湿法清洗设备的限制对公司无影响,甚至有可能会加速国内下游厂商采购国产化设备的步伐,对除湿法清洗设备外的其他设备的限制可能会对公司业务产生间接影响,目前尚不确定。公司设备类部分零部件从日韩进口,公司已在国内寻找并培养可替代的国产零部件供应商,今年国产二供的比例争取进一步提升。投资者 问 英唐智控:美日荷半导体制裁,公司产品出口到国内会受限吗?董秘回答(英唐智控):尊敬的投资者,您好!目前,公司采购及销售产品的进出口情况正常,公司将持续努力构建安全稳定的供应链。感谢您的关注。投资者 问 京东方:考虑到日本最近加入对中国芯片的制裁,考虑到公司面板材料有一部分来自日本,考虑到日本不是一个稳定供应商,请问公司是否联合国内面板厂商,优先采购友好国家的供应链?董秘回答(京东方):您好!公司高度重视供应链安全建设,与全球数千家供应商保持着良好的合作关系,并积极推动原材料的本地化、国产化,以降低原材料成本和供应链风险。同时,公司在产业链方面持续积极布局,以促进产业链更好可持续发展。目前,公司已通过自身及产业基金助力数十家上游材料、设备伙伴快速健康成长。谢谢!
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制