当前位置: 仪器信息网 > 行业主题 > >

电子束刻蚀系统

仪器信息网电子束刻蚀系统专题为您提供2024年最新电子束刻蚀系统价格报价、厂家品牌的相关信息, 包括电子束刻蚀系统参数、型号等,不管是国产,还是进口品牌的电子束刻蚀系统您都可以在这里找到。 除此之外,仪器信息网还免费为您整合电子束刻蚀系统相关的耗材配件、试剂标物,还有电子束刻蚀系统相关的最新资讯、资料,以及电子束刻蚀系统相关的解决方案。

电子束刻蚀系统相关的资讯

  • 武汉大学预算500万元购买1套扫描电镜+电子束刻蚀+能谱分析系统
    3月30日,武汉大学公开招标,购买扫描电镜+电子束刻蚀+能谱分析系统(进口)1套,预算500万元。 项目编号:HBT-13210048-210792  项目名称:武汉大学扫描电镜+电子束刻蚀+能谱分析采购项目  采购需求:  扫描电镜+电子束刻蚀+能谱分析系统(进口)1套。  合同履行期限:交货期为合同签订后6个月内,质保期1年。  本项目( 不接受 )联合体投标。  开标时间:2021年04月22日 09点30分(北京时间)
  • 浙江大学1400.00万元采购电子束刻蚀
    html, body { -webkit-user-select: text } * { padding: 0 margin: 0 } .web-box { width: 100% text-align: center } .wenshang { margin: 0 auto width: 80% text-align: center padding: 20px 10px 0 10px } .wenshang h2 { display: block color: #900 text-align: center padding-bottom: 10px border-bottom: 1px dashed #ccc font-size: 16px } .site a { text-decoration: none } .content-box { text-align: left margin: 0 auto width: 80% margin-top: 25px text-indent: 2em font-size: 14px line-height: 25px } .biaoge { margin: 0 auto /* width: 643px */ width: 100% margin-top: 25px } .table_content { border-top: 1px solid #e0e0e0 border-left: 1px solid #e0e0e0 font-family: Arial /* width: 643px */ width: 100% margin-top: 10px margin-left: 15px } .table_content tr td { line-height: 29px } .table_content .bg { background-color: #f6f6f6 } .table_content tr td { border-right: 1px solid #e0e0e0 border-bottom: 1px solid #e0e0e0 } .table-left { text-align: left padding-left: 20px } 详细信息 电子束曝光系统(ZJ-2263261-06G)采购公告 浙江省-杭州市-西湖区 状态:公告 更新时间: 2022-11-26 项目概况 电子束曝光系统项目的潜在供应商应在浙江国际招投标有限公司(杭州市文三路90号东部软件园1号楼3楼317室)获取采购文件,并于 2022年12月15日09点30分(北京时间)前提交投标文件。 一、项目基本情况 1.项目编号:ZJ-2263261-06G 2.项目名称:电子束曝光系统 3.预算金额:1400.0000万元(人民币) 4.采购方式:公开招标 5.采购需求: 序号 项目名称 数量 单位 预算金额(万元) 简要技术描述或基本概况介绍 备注 1 电子束曝光系统 1 项 1400.0000 电子束曝光系统采购,详见采购文件。 / 6.合同履行期限:合同签订后18个月内。 7.本项目( 不接受 )联合体投标。 二、申请人的资格要求: 1.满足《中华人民共和国政府采购法》第二十二条规定;未被“信用中国”(www.creditchina.gov.cn)、中国政府采购网(www.ccgp.gov.cn)列入失信被执行人、重大税收违法案件当事人名单、政府采购严重违法失信行为记录名单。 2.落实政府采购政策需满足的资格要求:无 3.本项目的特定资格要求:无; 三、获取招标文件 时间: 2022年11月25日至 2022年12月2日,每天上午 8:30至 11:30,下午 13:30至 17:00(北京时间,法定节假日除外 ) 地点:浙江国际招投标有限公司(杭州市文三路90号东部软件园1号楼3楼317室) 方式:现场报名/或邮件报名 售价:每本人民币500元(售后不退) 购买文件时应提交企业法定代表人授权委托书或单位介绍信(留存)、营业执照复印件、标书发票开票资料、满足投标人资格要求的证书原件扫描件加盖公章、报名表word版(如邮件报名需附上报名费汇款单,单位名称:浙江国际招投标有限公司。开户银行:工商银行杭州市武林支行。账号:1202021209906782015。资料发至466740141@qq.com)。 四、提交投标文件截止时间、开标时间和地点 截止时间:2022年12月15日09点30分(北京时间) 地点:浙江国际招投标有限公司301开标室(杭州市文三路90号东部软件园1号楼3楼301室) 五、公告期限自本公告发布之日起5个工作日。 六、其他补充事宜 1.供应商认为采购文件使自己的权益受到损害的,可以自收到采购文件之日(发售截止日之后收到采购文件的,以发售截止日为准)或者采购文件公告期限届满之日(公告发布后的第7个工作日)起7个工作日内,以书面形式向采购人和采购代理机构提出质疑。 2.未经报名登记并获取采购文件的供应商参与本项目投标,将被拒绝。 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名 称:浙江大学 地 址:杭州市西湖区余杭塘路866号 联系人:俞老师 联系电话:15005836761 2.采购代理机构信息 名 称:浙江国际招投标有限公司 联系人:谢凯枫 联系电话:0571-89731841 传真:0571-89731841 地址:浙江省杭州市西湖区文三路90号 质疑联系人:王麟; 电话:0571-81061839 × 扫码打开掌上仪信通App 查看联系方式 $('.clickModel').click(function () { $('.modelDiv').show() }) $('.closeModel').click(function () { $('.modelDiv').hide() }) 基本信息 关键内容:电子束刻蚀 开标时间:2022-12-15 09:30 预算金额:1400.00万元 采购单位:浙江大学 采购联系人:点击查看 采购联系方式:点击查看 招标代理机构:浙江国际招投标有限公司 代理联系人:点击查看 代理联系方式:点击查看 详细信息 电子束曝光系统(ZJ-2263261-06G)采购公告 浙江省-杭州市-西湖区 状态:公告 更新时间: 2022-11-26 项目概况 电子束曝光系统项目的潜在供应商应在浙江国际招投标有限公司(杭州市文三路90号东部软件园1号楼3楼317室)获取采购文件,并于 2022年12月15日09点30分(北京时间)前提交投标文件。 一、项目基本情况 1.项目编号:ZJ-2263261-06G 2.项目名称:电子束曝光系统 3.预算金额:1400.0000万元(人民币) 4.采购方式:公开招标 5.采购需求: 序号 项目名称 数量 单位 预算金额(万元) 简要技术描述或基本概况介绍 备注 1 电子束曝光系统 1 项 1400.0000 电子束曝光系统采购,详见采购文件。 / 6.合同履行期限:合同签订后18个月内。 7.本项目( 不接受 )联合体投标。 二、申请人的资格要求: 1.满足《中华人民共和国政府采购法》第二十二条规定;未被“信用中国”(www.creditchina.gov.cn)、中国政府采购网(www.ccgp.gov.cn)列入失信被执行人、重大税收违法案件当事人名单、政府采购严重违法失信行为记录名单。 2.落实政府采购政策需满足的资格要求:无 3.本项目的特定资格要求:无; 三、获取招标文件 时间: 2022年11月25日至 2022年12月2日,每天上午 8:30至 11:30,下午 13:30至 17:00(北京时间,法定节假日除外 ) 地点:浙江国际招投标有限公司(杭州市文三路90号东部软件园1号楼3楼317室) 方式:现场报名/或邮件报名 售价:每本人民币500元(售后不退) 购买文件时应提交企业法定代表人授权委托书或单位介绍信(留存)、营业执照复印件、标书发票开票资料、满足投标人资格要求的证书原件扫描件加盖公章、报名表word版(如邮件报名需附上报名费汇款单,单位名称:浙江国际招投标有限公司。开户银行:工商银行杭州市武林支行。账号:1202021209906782015。资料发至466740141@qq.com)。 四、提交投标文件截止时间、开标时间和地点 截止时间:2022年12月15日09点30分(北京时间) 地点:浙江国际招投标有限公司301开标室(杭州市文三路90号东部软件园1号楼3楼301室) 五、公告期限 自本公告发布之日起5个工作日。 六、其他补充事宜 1.供应商认为采购文件使自己的权益受到损害的,可以自收到采购文件之日(发售截止日之后收到采购文件的,以发售截止日为准)或者采购文件公告期限届满之日(公告发布后的第7个工作日)起7个工作日内,以书面形式向采购人和采购代理机构提出质疑。 2.未经报名登记并获取采购文件的供应商参与本项目投标,将被拒绝。 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名 称:浙江大学 地 址:杭州市西湖区余杭塘路866号 联系人:俞老师 联系电话:15005836761 2.采购代理机构信息 名 称:浙江国际招投标有限公司 联系人:谢凯枫 联系电话:0571-89731841 传真:0571-89731841 地址:浙江省杭州市西湖区文三路90号 质疑联系人:王麟; 电话:0571-81061839
  • 大连理工大学1337.00万元采购电子束刻蚀,扫描探针,X光电子能谱
    html, body { -webkit-user-select: text } * { padding: 0 margin: 0 } .web-box { width: 100% text-align: center } .wenshang { margin: 0 auto width: 80% text-align: center padding: 20px 10px 0 10px } .wenshang h2 { display: block color: #900 text-align: center padding-bottom: 10px border-bottom: 1px dashed #ccc font-size: 16px } .site a { text-decoration: none } .content-box { text-align: left margin: 0 auto width: 80% margin-top: 25px text-indent: 2em font-size: 14px line-height: 25px } .biaoge { margin: 0 auto /* width: 643px */ width: 100% margin-top: 25px } .table_content { border-top: 1px solid #e0e0e0 border-left: 1px solid #e0e0e0 font-family: Arial /* width: 643px */ width: 100% margin-top: 10px margin-left: 15px } .table_content tr td { line-height: 29px } .table_content .bg { background-color: #f6f6f6 } .table_content tr td { border-right: 1px solid #e0e0e0 border-bottom: 1px solid #e0e0e0 } .table-left { text-align: left padding-left: 20px } 详细信息 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目公开招标公告 辽宁省-大连市-甘井子区 状态:公告 更新时间: 2022-06-07 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目公开招标公告 项目概况 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目 招标项目的潜在投标人应在大连市甘井子区软件园路80号科技园大厦B座601室获取招标文件,并于2022年06月28日 09点00分(北京时间)前递交投标文件。 一、项目基本情况 项目编号:DUTASZ-2022066 项目名称:大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目 预算金额:1337.0000000 万元(人民币) 最高限价(如有):1337.0000000 万元(人民币) 采购需求: 各包预算金额及最高限价: A包:X射线光电子能谱仪,预算金额:500万元; B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元; A包:X射线光电子能谱仪1套,用于材料表面结构分析检测,实现样品表面的元素组成及化学键状态的定性和定量分析; B包:电子束曝光系统1套、原子力显微镜1套 用于材料表面微观结构分析,满足对纳米级光刻加工技术的需求等3套教学与科研用仪器,改善实验室教学与科研条件,完善实验课程内容,提升科研实验水平及能力,具体要求详见招标文件。 本项目“A包:X射线光电子能谱仪,B包:电子束曝光系统、原子力显微镜”可提供进口产品。进口产品是指通过中国海关报关验放进入中国境内且产自关境外的产品。 注:A包、B包兼投不兼中,投标人只能成为一个包的中标人(具体内容及要求详见招标文件)。 合同履行期限:A包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格;B包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格。 本项目( 不接受 )联合体投标。 二、申请人的资格要求: 1.满足《中华人民共和国政府采购法》第二十二条规定; 2.落实政府采购政策需满足的资格要求: 1)非专门面向中小企业采购项目;2)中小微企业、监狱企业、残疾人福利性单位、节能、环保产品优先采购等 3.本项目的特定资格要求:代理商须具有制造商合法有效授权(国产设备除外)。 三、获取招标文件 时间:2022年06月08日 至 2022年06月14日,每天上午8:00至11:30,下午13:00至17:00。(北京时间,法定节假日除外) 地点:大连市甘井子区软件园路80号科技园大厦B座601室 方式:现场报名或通过电子邮箱提交报名材料扫描件进行报名。 售价:¥500.0 元,本公告包含的招标文件售价总和 四、提交投标文件截止时间、开标时间和地点 提交投标文件截止时间:2022年06月28日 09点00分(北京时间) 开标时间:2022年06月28日 09点00分(北京时间) 地点:大连市甘井子区软件园路80号科技园大厦B座609室。 五、公告期限 自本公告发布之日起5个工作日。 六、其他补充事宜 1.获取招标文件时间:2022年6月8日8:00-2022年6月14日17:00(双休日及法定节假日除外)。 2.获取文件方式:现场报名或通过电子邮箱提交报名材料扫描件进行报名。 3.获取文件地点:大连市甘井子区软件园路80号科技园大厦B座601室。 4.现场报名:在招标文件发售期内,报名时携带营业执照(或事业单位法人证书)复印件、法定代表人身份证明原件或法人授权委托书原件及被授权人身份证原件,上述证明材料复印件须加盖企业公章,报名后,发售招标文件。 5.通过电子邮箱提交报名材料扫描件进行报名:在招标文件发售期内,申请报名和购买招标文件的投标人请将营业执照(或事业单位法人证书)副本复印件、法定代表人身份证明(法定代表人报名提供)或法定代表人授权委托书(授权委托人报名提供,应附法人代表和被授权人的身份证明复印件)、《报名及购买文件登记表》(格式自拟,须含法定代表人或授权委托人的电子邮箱、联系电话、办公电话、包号等)、招标文件费汇款凭证(招标文件费须以公司电汇方式至采购代理人公司银行账户,须备注项目名称及投标人名称)、上述材料加盖公章、扫描后发至电子邮箱710578087@qq.com,经采购代理人确认报名后,发售招标文件。 6.最高限价(如有):1337万元(人民币) A包:X射线光电子能谱仪,预算金额:500万元; B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元; 7.投标保证金:A包:10万元,B包:16万元,保证金形式及缴纳方式见招标文件。 8.公司名称:大连理工招标代理有限公司; 开户行:农行高新技术产业园支行; 账号:34263001040002404; 行号:103222006805。 注:1.如投标人为“通过电子邮箱提交报名材料扫描件进行报名”,招标文件费以实际到账时间为准,报名截止时间后收到的材料及费用不予认可。 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名称:大连理工大学 地址:大连理工大学采购与招标管理办公室(大连理工大学南门科技园C座)411室 联系方式:李老师;84709969 2.采购代理机构信息 名称:大连理工招标代理有限公司 地 址:大连市甘井子区软件园路80号科技园大厦B座601室 联系方式:李楠;0411-39700100 3.项目联系方式 项目联系人:李楠 电 话:0411-39700100 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名 称:大连理工大学 地址:大连理工大学采购与招标管理办公室(大连理工大学南门科技园C座)411室 联系方式:李老师;0411-84709969 2.采购代理机构信息 名 称:大连理工招标代理有限公司 地 址:大连市甘井子区软件园路80号科技园大厦B座601室 联系方式:李楠 0411-39700100 3.项目联系方式 项目联系人:李楠 电 话:0411-39700100 × 扫码打开掌上仪信通App 查看联系方式 $('.clickModel').click(function () { $('.modelDiv').show() }) $('.closeModel').click(function () { $('.modelDiv').hide() }) 基本信息 关键内容:电子束刻蚀,扫描探针,X光电子能谱 开标时间:2022-06-28 09:00 预算金额:1337.00万元 采购单位:大连理工大学 采购联系人:点击查看 采购联系方式:点击查看 招标代理机构:大连理工招标代理有限公司 代理联系人:点击查看 代理联系方式:点击查看 详细信息 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目公开招标公告 辽宁省-大连市-甘井子区 状态:公告 更新时间: 2022-06-07 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目公开招标公告 项目概况 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目 招标项目的潜在投标人应在大连市甘井子区软件园路80号科技园大厦B座601室获取招标文件,并于2022年06月28日 09点00分(北京时间)前递交投标文件。 一、项目基本情况 项目编号:DUTASZ-2022066 项目名称:大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目 预算金额:1337.0000000 万元(人民币) 最高限价(如有):1337.0000000 万元(人民币) 采购需求: 各包预算金额及最高限价: A包:X射线光电子能谱仪,预算金额:500万元; B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元; A包:X射线光电子能谱仪1套,用于材料表面结构分析检测,实现样品表面的元素组成及化学键状态的定性和定量分析; B包:电子束曝光系统1套、原子力显微镜1套 用于材料表面微观结构分析,满足对纳米级光刻加工技术的需求等3套教学与科研用仪器,改善实验室教学与科研条件,完善实验课程内容,提升科研实验水平及能力,具体要求详见招标文件。 本项目“A包:X射线光电子能谱仪,B包:电子束曝光系统、原子力显微镜”可提供进口产品。进口产品是指通过中国海关报关验放进入中国境内且产自关境外的产品。 注:A包、B包兼投不兼中,投标人只能成为一个包的中标人(具体内容及要求详见招标文件)。 合同履行期限:A包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格;B包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格。 本项目( 不接受 )联合体投标。 二、申请人的资格要求: 1.满足《中华人民共和国政府采购法》第二十二条规定; 2.落实政府采购政策需满足的资格要求: 1)非专门面向中小企业采购项目;2)中小微企业、监狱企业、残疾人福利性单位、节能、环保产品优先采购等 3.本项目的特定资格要求:代理商须具有制造商合法有效授权(国产设备除外)。 三、获取招标文件 时间:2022年06月08日 至 2022年06月14日,每天上午8:00至11:30,下午13:00至17:00。(北京时间,法定节假日除外) 地点:大连市甘井子区软件园路80号科技园大厦B座601室 方式:现场报名或通过电子邮箱提交报名材料扫描件进行报名。 售价:¥500.0 元,本公告包含的招标文件售价总和 四、提交投标文件截止时间、开标时间和地点 提交投标文件截止时间:2022年06月28日 09点00分(北京时间) 开标时间:2022年06月28日 09点00分(北京时间) 地点:大连市甘井子区软件园路80号科技园大厦B座609室。 五、公告期限 自本公告发布之日起5个工作日。 六、其他补充事宜 1.获取招标文件时间:2022年6月8日8:00-2022年6月14日17:00(双休日及法定节假日除外)。 2.获取文件方式:现场报名或通过电子邮箱提交报名材料扫描件进行报名。 3.获取文件地点:大连市甘井子区软件园路80号科技园大厦B座601室。 4.现场报名:在招标文件发售期内,报名时携带营业执照(或事业单位法人证书)复印件、法定代表人身份证明原件或法人授权委托书原件及被授权人身份证原件,上述证明材料复印件须加盖企业公章,报名后,发售招标文件。 5.通过电子邮箱提交报名材料扫描件进行报名:在招标文件发售期内,申请报名和购买招标文件的投标人请将营业执照(或事业单位法人证书)副本复印件、法定代表人身份证明(法定代表人报名提供)或法定代表人授权委托书(授权委托人报名提供,应附法人代表和被授权人的身份证明复印件)、《报名及购买文件登记表》(格式自拟,须含法定代表人或授权委托人的电子邮箱、联系电话、办公电话、包号等)、招标文件费汇款凭证(招标文件费须以公司电汇方式至采购代理人公司银行账户,须备注项目名称及投标人名称)、上述材料加盖公章、扫描后发至电子邮箱710578087@qq.com,经采购代理人确认报名后,发售招标文件。 6.最高限价(如有):1337万元(人民币) A包:X射线光电子能谱仪,预算金额:500万元; B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元; 7.投标保证金:A包:10万元,B包:16万元,保证金形式及缴纳方式见招标文件。 8.公司名称:大连理工招标代理有限公司; 开户行:农行高新技术产业园支行; 账号:34263001040002404; 行号:103222006805。 注:1.如投标人为“通过电子邮箱提交报名材料扫描件进行报名”,招标文件费以实际到账时间为准,报名截止时间后收到的材料及费用不予认可。 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名称:大连理工大学 地址:大连理工大学采购与招标管理办公室(大连理工大学南门科技园C座)411室 联系方式:李老师;84709969 2.采购代理机构信息 名称:大连理工招标代理有限公司 地 址:大连市甘井子区软件园路80号科技园大厦B座601室 联系方式:李楠;0411-39700100 3.项目联系方式 项目联系人:李楠 电 话:0411-39700100 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名 称:大连理工大学 地址:大连理工大学采购与招标管理办公室(大连理工大学南门科技园C座)411室 联系方式:李老师;0411-84709969 2.采购代理机构信息 名 称:大连理工招标代理有限公司 地 址:大连市甘井子区软件园路80号科技园大厦B座601室 联系方式:李楠 0411-39700100 3.项目联系方式 项目联系人:李楠 电 话: 0411-39700100
  • 泽攸精密携手松山湖材料实验室成功研制出电子束光刻系统
    国产电子束光刻机实现自主可控,是实现我国集成电路产业链自主可控的重要一环。近日,松山湖材料实验室精密仪器联合工程中心产业化项目研发再获新突破:项目团队成功研制出电子束光刻系统,在全自主电子束光刻机整机的开发与产业化过程中取得阶段性进展,初步实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。电子束光刻是利用聚焦电子束对某些高分子聚合物(电子束光刻胶)进行曝光并通过显影获得图形的过程,而产生聚焦电子束并让聚焦电子束按照设定的图形扫描的仪器就叫做电子束光刻机。它是推动我们当前新材料、前沿物理研究、半导体、微电子、光子、量子研究领域的重要手段之一。此前,全球电子束光刻机市场高度集中,主要由美日企业垄断,我国尚未掌握该领域核心技术,装备长期依赖进口。松山湖材料实验室精密仪器研发团队作为首批入驻实验室的团队之一,专注于材料和半导体领域的精密加工、表征和测量设备研发。团队负责人许智已从事相关研究近20年,参与承担多项国家重点研发计划专项工作及国家重大科研装备研制项目,近5年带领产业化团队研发的精密仪器成果转化填补多项国产空白,产值超亿元,产品出口美国、英国、德国、澳大利亚。为了研制具有自主知识产权的电子束光刻机整机,精密仪器研发团队在松山湖材料实验室完成一期项目研发并成立产业化公司后,带资回到实验室进入“滚动发展”模式:产业化公司东莞泽攸精密仪器有限公司与实验室共同投资2400万元进行第二阶段研发,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,东莞泽攸精密仪器有限公司已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形,朝着行业先进水平稳步前进。该成果标志着泽攸科技在电子束光刻机关键技术和整机方面的自主创新能力获得重大提升。下一步,团队及产业化公司将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。
  • 一种有望替代电子束光刻的新技术
    目前光刻技术存在被美国“卡脖子”,不只是工业用的,包括科研用的电子束曝光机也只能购买到落后国外两三代的产品。而电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究,是半导体微电子制造及纳米科技的关键设备、基础设备。3D纳米结构高速直写机的技术起源光刻技术严重制约着我国半导体工业及科研领域的发展。近年来,一种基于热扫描探针光刻技术的产品3D纳米结构高速直写机有望替代电子束曝光机。3D纳米结构高速直写机(NanoFrazor)的主要技术起源于上世纪九十年代,由诺贝尔奖获得者Binnig教授在IBM Zurich实验室所主导的千足虫计划。该计划原本的目标是用类似原子力显微镜探针的热探针达到1Gb/s的高速数据存储读写。图1为千足虫计划中,制备的热探针的扫描电子显微图像。[1]图1. 千足虫计划所制备的热探针的扫描电子显微图像。[1]2010年后,研究团队逐渐把研究热点从数据的高速读写逐渐转向了扫描热探针用于高精度灰度光刻技术(t-SPL)。随着t-SPL技术的逐渐成熟,2014年推出了首款商业化高精度3D纳米结构高速直写机,NanoFrazor Explore 图2b)。为满足市场的不同需求,2017年推出台式系统NanoFrazor Scholar,图2a)。[2]图2 不同型号的NanoFrazor。a)为台式NanoFrazor Scholar系统,b)为旗舰型NanoFrazor Explore。[2]随后,于2019年无掩模激光直写系统被成功地整合到了旗舰型NanoFrazor Explore系统中,实现了在NanoFrazor中从微米加工到纳米加工的无缝衔接。有望替代电子束光刻技术目前NanoFrazor的技术主要用于科研院所的高端纳米器件制备,已有集成激光直写的系统以加快大尺寸大面积微纳米结构的刻写。由10根探针组成的探针阵列已经在Beta客户端测试中。在和IBM苏黎世的合作项目中已经开始了用于工业批量生产的全自动系统的原型设计。。NanoFrazor的优势体现在以下几个方面。首先,NanoFrazor是首款实现3D纳米结构直写的光刻设备,其垂直分辨率可高达1nm。因此,此设备不仅可以制备在2D方向上高分辨率复杂图案的无掩模刻写,还可以制备3D复杂纳米结构,例如复杂的光学傅里叶表面结构,图3所示。[3]图3,用NanoFrazor制备的光学傅里叶表面结构。[3]第二,由于NanoFrazor的光刻原理是通过热探针直接在热敏胶上进行刻写,与热探针接触的胶体部分被直接分解,与电子束曝光(EBL)技术相比所制备的图案不会被临近场效应所影响。因此使用t-SPL技术制备的器件,光刻胶可以被去除的非常干净,从而改善半导体材料和金属电极的接触情况,提高电子器件的性能。图4为NanoFrazor工艺中所用的热敏胶和EBL工艺中所用的光敏胶在去胶工艺后的光刻胶表面残留情况。[4]图4 采用t-SPL技术和EBL技术去胶后光刻胶表面残留对比,图中比例尺为500nm。[4]第三, 由于NanoFrazor所采用的的t-SPL光刻技术,避免了电子注入对材料的损伤,特别适合电子敏感类材料相关器件的制备。与此同时NanoFrazor针尖虽然温度很高,但是和样品的接触面积只有纳米尺度,所以样品表面不会受到高温影响,样品表面温度升高小于50度。第四,传统光刻技术中,需要通过显影才能观察到光刻图案。而使用t-SPL技术进行光刻时,热敏胶直接被热探针分解,然后再通过同步成像系统可以立即得到刻蚀图案的形貌。同时使用闭环控制刻写深度,保证纵向1nm的刻写精度。在实际使用中,可以对样品表面已有的微结构成像,实时设计套刻图案进行刻写,非常适合科学科研和新品研发。此外,相比于传统的电子束刻蚀等技术产品,NanoFrazor可以在常温常压环境中使用,维护简单费用低。其主要耗材为热探针,耗材费用将低于目前通用的电子束刻蚀系统的耗材维护费用。科研领域的得力干将目前情况来看,国内和国外的主要用户都集中在科研院所。这一特点在推广尚属早期的国内市场尤为突出。QD中国正在尽全力把NanoFrazor和相关技术介绍给中国区的用户。NanoFrazor在国内的高精度3D光刻领域暂无竞争对手,在2D光刻领域与EBL存在着某些重叠。NanoFrazor产于中立国瑞士,受国际政治影响较小。热敏胶由德国AllResist公司生产销售,热探针目前仍然由IBM苏黎世供应,计划明年由德国IMS公司生产提供,不存在卡脖子问题。凭借强大的性能,NanoFrazor帮助科研人员在多领域中取得了一系列优秀成果。在光学方面,苏黎世联邦理工的Nolan Lassaline等人使用NanoFrazor制备了周期性和非周期性的光学表面结构。[3] 制备的多元线性光栅允许利用傅里叶光谱工程精确调控光信号。实验表面,使用NanoFrazor制备的任意3D表面的方法,将为光学设备(生物传感器,激光器,超表面和调制器)以及光子学的新兴区域(拓扑结构,转换光学器件和半导体谷电子学)带来新的机遇。该论文已于2020年经发表于Nature。在电子学方面,纽约城市大学的Xiaorui Zheng等人利用NanoFrazor制备了基于MoS2的场效应管。[4] 他们的研究结果表明,使用t-SPL技术制备的器件很好地解决了困扰EBL工艺的非欧姆接触和高肖脱基势垒等问题。器件的综合电子学性能也远优于传统工艺所制备的器件。该论文于2019年发表于Nature Electronics。在3D微纳加工方面,IBM使用NanoFrazor制备的纳米微流控系统控制纳米颗粒的输运方向,并成功分离不同大小尺寸的纳米颗粒,直径相差1nm的纳米颗粒可以用此方法进行分离[6]。该方法可以用于分离样品中的病毒等纳米物体。该论文于2018年发表于Science。IBM苏黎世研究院的Pires等人利用NanoFrazor的3D加工工艺,成功地制备出了高度仅为25nm的瑞士最高峰马特宏峰,如图5所示。[5] 后经吉尼斯世界纪录认证为世界上最小的马特宏峰。优于新颖的加工工艺和优异的3D加工精度,该论文与2010年发表于Science。图5 利用NanoFrazor制备的高度仅有25nm的世界最小马特宏峰。[5]在二维材料研究方面,NanoFrazor的热探针可以直接用于二维材料的掺杂[7],切割[8]和应力调制[9],开创了二维材料器件制备的新方法。论文于2020年发表于Nature Communications, Advanced Materials和NanoLetters等期刊上。目前国内用户对NanoFrazor在实验上的表现十分满意,已有国内用户在Advanced Materials等顶级期刊发表文章。关于QUANTUM量子科学仪器QUANTUM量子科学仪器贸易(北京)有限公司(以下简称QDC)是世界知名的科学仪器制造商——美国 Quantum Design International 公司(以下简称QD Inc.)在全世界设立的诸多子公司之一。QD Inc.生产的 SQUID 磁学测量系统 (MPMS) 和材料综合物理性质测量系统 (PPMS) 已经成为世界公认的顶级测量平台,广泛的分布于世界上几乎所有材料、物理、化学、纳米等研究领域尖端的实验室。同时QD Inc.还利用自己遍布世界的专业营销和售后队伍打造一个代理分销网络,与世界其他领先的设备制造商合作,为其提供遍布全球的专业产品销售和售后服务网络,2007 年QD Inc.并购了欧洲最大的仪器分销商德国 LOT 公司,使得QD Inc.全球代理分销和售后网络变得更加完整和强大。由于在华业务的不断发展,QD Inc.于2004年在中国注册成立了全资中国子公司QDC。经过10多年的耕耘发展,目前QDC拥有一支高素质的科学技术服务队伍,其中技术销售及售后技术支持团队全部由硕士学历以上人才组成(其中近70%为博士学历),多年来为中国的顶级实验室和科研机构提供专业科学仪器设备、技术支持、以及科技咨询服务。这些优秀的雇员都曾被派往美国总部及欧美日等尖端科研仪器厂家进行专业系统的培训,经过公司十多年的培养,成为具有丰富的科学实验仪器应用经验的专家。他们为中国的研究机构带来了最尖端的产品和最新的科技动态,为中国科研人员的研究工作提供了强有力的支持。QDC作为引进先进技术设备进入中国的桥梁,靠着过硬的尖端产品、坚实的技术实力、一流的服务质量赢得了中国广大科研客户的赞誉。Quantum Design中国子公司还积极致力于发展与中国本地科学家的合作,并将先进的实验室技术通过技术转移进行商业化。目前Quantum Design中国子公司正立足于公司本部产品,积极致力于材料物理、纳米表征和测量技术、生物及生命科学技术领域的新业务。Quantum Design中国子公司已逐渐成为中国与世界进行先进技术、先进仪器交流的一个重要桥头堡。引用文献1. Eleftheriou, E., et al., Millipede-a MEMS-based scanning-probe data-storage system. IEEE transactions on magnetics, 2003. 39(2): p. 938-945.2. https://heidelberg-instruments.com/product/nanofrazor-explore/ .3. Lassaline, N., et al., Optical fourier surfaces. Nature, 2020. 582(7813): p. 506-510.4. Zheng, X., et al., Patterning metal contacts on monolayer MoS 2 with vanishing Schottky barriers using thermal nanolithography. Nature Electronics, 2019. 2(1): p. 17-25.5. Pires, D., et al., Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science, 2010. 328(5979): p. 732-735.6. Skaug et al., Nanofluidic rocking Brownian motors. Science, 2018. 359: p. 1505-1508.7. Zheng, X, et al., Spatial defects nanoengineering for bipolar conductivity in MoS2. Nature Communications, 2020. 11:3463.8. Liu, et al., Thermomechanical Nanocutting of 2D Materials. Advanced Materials.9. Liu, et al., Thermomechanical Nanostraining of Two-Dimensional Materials. NanoLetters.关注Quantum Design中国官方微信公众号,了解更多前沿资讯!(Quantum Design 中国 供稿)
  • TESCAN 正式发布一款全新的用于SEM和FIB-SEM系统中电子束曝光(EBL)的软硬件解决方案
    TESCAN Essence™ EBL套件包含软件模块,可通过Essence™ 电镜控制软件直接控制电子束曝光(EBL)工艺,从而高效地实现微米和纳米级结构与器件的原型设计。 2021年1月29日,TESCAN ORSAY HOLDING a.s. 正式发布 EssenceTM EBL(电子束曝光)套件,这是一款完全集成的专用的解决方案,可以配置在TESCAN SEM和FIB-SEM仪器上,它与TESCAN的快速静电束闸配合使用,为实验室研究提供了更多的可能,在满足对微米和纳米级结构及器件进行原型设计的同时,还可以实现SEM和FIB-SEM的成像及分析功能。 电子束曝光是一种使用聚焦电子束在覆盖有电子敏感膜(抗蚀剂)的表面上绘制图案的技术。该技术在原型制作中很常见,可在各种基板上制备具有特定形状、尺寸和材料成分的微结构和纳米结构,例如传感器、光子、等离子体、自旋电子器件、MEMS、微流体以及用于细胞生长的表面。 TESCAN TOF-SIMS及纳米成型方向应用产品经理Tomáš Šamořil 曾说:“在大学或其它机构的小型科研团队中,仪器通常由多个团队共享,因此功能多样性、易用性和可靠性是购买新设备时需要考虑的主要问题,通过将SEM或FIB-SEM的功能扩展到中级电子束曝光应用,就能够满足表征和原型制作的需求。”在SEM或FIB-SEM上增加电子束曝光技术后,研究人员可以充分利用这一平台的分析能力,在完成曝光过程后快速验证结构、尺寸或材料组成。电子束曝光(EBL)与聚焦离子束(FIB)和气体注入系统(GIS)技术提供的精密材料刻蚀,已被证明是一种强大的组合,可用于光子学、光学、等离子体、磁学、生物学及电子学中微米和纳米器件快速原型设计。 TESCAN EssenceTM EBL套件包括软件模块,该模块完全集成在TESCAN的EssenceTM电镜控制软件中,并使用开源的第三方图案编辑器KLayout执行所有必需的光刻步骤。这样使操作变得非常容易,简化了混合技术的设计过程,无需进行复杂的数据处理。欲了解TESCAN EssenceTM EBL 详情,请点击此处。
  • KLA推出全新突破性的电子束缺陷检测系统
    p 2020年7月20日KLA公司宣布推出革命性的eSL10& #8482 电子束图案化晶圆缺陷检查系统。该系统具有独特的检测能力,能够检测出常规光学或其他电子束检测平台无法捕获的缺陷,从而加速了高性能逻辑和存储芯片的上市时间(包括那些依赖于极端紫外线(EUV)光刻技术的芯片)。eSL10的研发是始于最基本的构架,针对研发生产存在多年的问题而开发出了多项突破性技术,可提供高分辨率,高速检测功能,这是市场上任何其他电子束系统都难以比拟的。 /p p KLA电子束部门总经理Amir Azordegan表示:“利用单一的高能量电子束,eSL10系统将电子束检测性能提升到了一个新水平。在此之前,电子束检测系统不能兼顾灵敏度和产能,严重限制了实际的应用。我们优秀的研发工程团队采用了全新的方法来设计电子束架构以及算法,研制出的新系统可以解决现有设备无法解决的问题。目前,KLA将电子束检测列入对制造尖端产品至关重要的设备清单。” /p p img style=" max-width:100% max-height:100% " src=" https://www.semi.org.cn/img/news/sdfffdsffsd.jpg" / /p p 图:针对先进的逻辑、DRAM和3D NAND器件,KLA革命性的eSL10& #8482 电子束图案化晶圆缺陷检测系统利用独特的技术发现甄别产品中的关键缺陷。 /p p eSL10电子束检测系统具有多项革命性技术,能够弥补对关键缺陷检测能力的差距。独特的电子光学设计提供了在业界相对比较广泛的操作运行范围,能够捕获各种不同制程层和器件类型中的缺陷。Yellowstone& #8482 扫描模式每次可以扫描收集100亿像素的信息,支持高速运行的同时不会影响分辨率,以在较大区域内也能高效地研究潜在弱点,实现缺陷发现。Simul-6& #8482 传感器技术可以通过一次扫描同时收集表面、形貌、材料对比度和深沟槽信息,从而减少了在具有挑战性的器件结构和材料中识别不同缺陷类型所需的时间。凭借其先进的人工智能(AI)系统,eSL10运用了深度学习算法,能满足IC制造商不断发展的检测要求,杜绝了对器件性能影响最关键的缺陷。 /p p 三维器件结构,例如用于内存应用的3D NAND和DRAM,以及用于逻辑器件的FinFET和GAA(Gate-All-Around)结构,都要求晶圆厂重新考虑传统的缺陷控制策略。eSL10与KLA的旗舰39xx(“ Gen5”)和29xx(“ Gen4”)宽光谱晶圆缺陷检测系统的结合,为先进的IC技术提供了强大的缺陷发现和监测解决方案。这些系统共同合作,提高了产品的良率和可靠性,将更快地发现关键缺陷,并能够更快地解决从研发到生产的缺陷问题。 /p p 新推出的eSL10系统平台具有独特的扩展性,可以延申到整个电子束检测和量测应用中。全球范围内先进的逻辑器件、存储器和制程设备制造商都在使用eSL10系统,利用该系统帮助研发生产过程,提升和监测下一代产品制程和器件的制造。为了保持其高性能和生产力表现,eSL10系统拥有KLA全球综合服务网络的支持。更多关于全新电子束缺陷检测系统的其他信息,请参见eSL10产品页面。 /p
  • 澳大利亚研制纳米电子束曝光系统
    本报讯 据澳大利亚莫纳什大学网站报道,澳大利亚研究人员正在研制世界最强大的纳米设备之一——电子束曝光系统(EBL)。该系统可标记纳米级的物体,还可在比人发直径小1万倍的粒子上进行书写或者蚀刻。   电子束曝光技术可直接刻画精细的图案,是实验室制作微小纳米电子元件的最佳选择。这款耗资数百万美元的曝光系统将在澳大利亚亮相,并有能力以很高的速度和定位精度制出超高分辨率的纳米图形。该系统将被放置在即将完工的墨尔本纳米制造中心(MCN)内,并将于明年3月正式揭幕。   MCN的临时负责人阿彼得凯恩博士表示,该设备将帮助科学家和工程师发展下一代微技术,在面积小于10纳米的物体表面上实现文字和符号的书写和蚀刻。此外,这种强大的技术正越来越多地应用于钞票诈骗防伪、微流体设备制造和X射线光学元件的研制中,还可以支持澳大利亚同步加速器的工作。   凯恩说:“这对澳大利亚科学家研制最新的纳米仪器十分重要,其具有无限的潜力,目前已被用于油漆、汽车和门窗的净化处理,甚至对泳衣也能进行改进。而MCN与澳大利亚同步加速器相邻,也能吸引更多的国际研究团队的目光。”   MCN的目标是成为澳大利亚开放的、多范围的、多学科的微纳米制造中心。该中心将支持环境传感器、医疗诊断设备、微型纳米制动器的研制,以及新型能源和生物等领域的研究和模型绘制。除电子束曝光系统外,MCN中还包含了高分辨率双束型聚焦离子束显微镜、光学和纳米压印光刻仪、深反应离子蚀刻仪和共聚焦显微镜等众多设备。   凯恩认为:能够介入这种技术使我们的科学家十分兴奋,它可以确保我们在未来十年内在工程技术前沿领域的众多方面保持领先地位,也将成为科学家在纳米范围内取得更大成就的重要基点。(张巍巍)
  • 泽攸科技完全自主研制的电子束光刻机取得阶段性成果
    国产电子束光刻机实现自主可控,是实现我国集成电路产业链自主可控的重要一环。近日,泽攸科技联合松山湖材料实验室开展的全自主电子束光刻机整机的开发与产业化项目取得重大进展,成功研制出电子束光刻系统,实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。电子束光刻是利用聚焦电子束对某些高分子聚合物(电子束光刻胶)进行曝光并通过显影获得图形的过程。而产生聚焦电子束并让聚焦电子束按照设定的图形扫描的仪器就叫做电子束光刻机。它是推动我们当前新材料、前沿物理研究、半导体、微电子、光子、量子研究领域的重要手段之一。此前,全球电子束光刻机市场高度集中,主要由美日企业垄断,我国尚未掌握该领域核心技术,装备长期依赖进口。为实现电子束光刻机的自主可控,泽攸科技多年来持续积累电子光学、微纳技术、高压源及电子源技术、真空系统、自动控制、数字图像处理等多学科交叉核心技术,构建了完整的技术体系,并推出了台式扫描电镜等多款热销电子束产品。2023年3月,泽攸科技联合松山湖材料实验室共同投资2400万元,成立联合工程中心,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,泽攸科技已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形,达到先进水平。该成果标志着泽攸科技在电子束光刻机关键技术和整机方面的自主创新能力获得重大提升。下一步,泽攸科技将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。相信在公司技术团队的不懈努力下,泽攸科技自主研发的电子束光刻机整机必将加速实现量产和商业化应用。这不仅将大幅降低国内高端芯片制造的装备成本,还将打破国外企业的技术壁垒,使我国拥有自主可控的电子束光刻机技术,推动国产替代,切实保障国家信息安全。以下是电子束光刻机部分应用案例:
  • 蔡司推出新型SEM 可同时提供61条电子束
    蔡司将在2014年11月15日-19日,于华盛顿举行的神经系统科学年会上展出ZEISS MultiSEM 505,这是蔡司推出的一款新型扫描电镜。该仪器将会同时产生61条电子束,并提供每秒达到1220百万像素(每个像素尺寸为4nm)的捕获速度。如此高的捕获速度可用于大脑研究中神经组织成像,当前的大脑研究可以观察比以前大得多的样本。ZEISS MultiSEM 505能够适应接连不断的运行,并可以和直观的ZEN软件相兼容。   传统的扫描电镜采用单电子束,电子束在样品表面被引导着获取一个个像素来形成样本的一组图像。ZEISS MultiSEM同时使用61条电子束,可同时获取61组图像。因此,利用ZEISS MultiSEM 505现在可以快速获取大面积的图像,图像采集时间也从数年减少到只需几周而已。   ZEISS MultiSEM 505最早的使用者有美国哈佛大学Jeffrey Lichtman实验室和马克斯· 普朗克神经生物学研究所的Winfried Denk。他们都使用显微术研究大脑的结构和功能。他们的研究成果将帮助人们更好的理解阿兹海默症、帕金森症等病症的原因,以及开发相应的治疗方法。
  • 国产进入新一轮研发潮:电子束曝光机市场与企业盘点
    电子束曝光机概述电子束曝光(EBL,也称之为电子束光刻)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。目前,活跃在科研和产业界的电子束光刻设备主要是高斯束、变形束和多束电子束,其中高斯束设备相对门槛较低,能够灵活曝光任意图形,被广泛应用于基础科学研究中,而后两者则主要服务于工业界的掩模制备中。电子束光刻的主要优点是可以绘制低于10nm分辨率的定制图案(直接写入)。这种形式的无掩模光刻技术具有高分辨率和低产量的特点,将其用途限制在光掩模制造,半导体器件的小批量生产以及研究和开发中。我国电子束曝光技术是六十年代后期开始发展起来的,到七十年代,近十家从事电子束曝光技术研究的单位,在北京、上海、南京分别以大会战的方式组织了较强力量的工厂、研究所和高等院校研制。当时由于国内缺乏基础,而电子束曝光本身又是一种多学科的综合性技术,几年之后,许多单位因任务改变而结束了此项工作。在2000年后电子束光刻设备研发热度逐渐降低甚至一度搁置。在《瓦森纳协定》禁止向中国提供高性能电子束光刻设备后,国内电子束光刻设备研发才重新被提起。在此之前,国内从事和引导电子束光刻设备研发的单位主要有中国科学院电工研究所、中国电子科技集团有限公司第四十八研究所、哈尔滨工业大学和山东大学等。目前性能最优的国产化电子束光刻设备包括中国电子科技集团有限公司第四十八研究所在2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程;中国科学院电工研究所2000年完成的DY-7 0.1μm电子束曝光系统可加工80 nm的间隙,在2005年交付的基于扫描电镜改装的新型纳米级电子束曝光系统,其系统分辨率可达30 nm,束斑直径6 nm。国内电子束光刻研究主要类型为高斯束,上述提及的设备均为高斯束类型,而在变形束方面主要有电工所DJ-2 μm级可变矩形电子束曝光机的研究成果,可实现最小1 μm的线宽,束斑尺寸0.5~12.5 μm区间内可调。而在多束方面在过去并无相关研究,仅有电工所开展了多束的前身技术——投影电子束曝光的研究,设备代号为EPLDI。在中国科学院电工研究所和中国电子科技集团有限公司第四十八研究所的牵头下,研发过程中将整机拆分为多个关键零部件和技术进行阶段性攻关,包括精密工件台、真空系统、图形发生器、偏转和束闸等。国内研发设备的加速电压停留在30 kV以下,扫描速度普遍不超过10 MHz,相应的拼接套刻精度均在亚微米量级,而电子束束斑在整机自主化研发设备中由于热发射钨电子枪和LaB6的限制停留在几十纳米量级,整体设备性能与国外顶尖设备有较大的差距。市场概况根据QYResearch研究团队调研统计,2022年全球电子束光刻系统(EBL)市场销售额达到了13亿元,预计2029年将达到22亿元,年复合增长率(CAGR)为6.9%(2023-2029)。电子束曝光(electron beam lithography)指使用电子束在表面上制造图样的工艺,是光刻技术的延伸应用。电子束光刻系统(EBL)即用于实现电子束曝光的系统。全球电子束光刻系统(Electron Beam Lithography System (EBL))的主要参与者包括Raith、Vistec、JEOL、Elionix和Crestec。全球前三大制造商的份额超过70%。日本是最大的市场,占有率约为48%,其次是欧洲和北美,占有率分别约为34%和12%。就产品而言,高斯光束EBL系统是最大的细分市场,占有率超过70%。在应用方面,应用最多的是工业领域,其次是学术领域。国外主流企业及进展RaithRaith是纳米制造、电子束光刻、FIB SEM纳米制造、纳米工程和逆向工程应用的先进精密技术制造商。客户包括参与纳米技术研究和材料科学各个领域的大学和其他组织,以及将纳米技术用于特定产品应用或生产复合半导体的工业和中型企业。Raith成立于1980年,总部位于德国多特蒙德,拥有超过250名员工。公司通过在荷兰、美国和亚洲的子公司,以及广泛的合作伙伴和服务网络,与全球重要市场的客户密切合作。Raith主要有五款EBL产品,EBPG Plus、Voyager、RAITH150 Two、eLINE Plus和PIONEER Two。EBPG Plus是一种超高性能电子束光刻系统。100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。Raith VOYAGER 光刻系统使用场发射电子源,具有可变的 10-50 keV 加速电位,50 兆赫兹偏转系统具有实时动态校正和单级静电偏转功能,可在小至 8 nm 的光刻胶中定义单线图案。激光控制平台能够加载NBL(Nanobeam)NanoBeam是一家英国公司,成立于2002年,主要生产高性能和高性价比的电子束光刻工具。据媒体报道,2016年,徐州博康收购了NBL落户徐州经济技术开发区,并将在园区内主要生产电子束光刻机、扫描电镜、高压电源以及电子束枪、无磁电机等高科技产品。NBL的电子束光刻机线宽小于8nm的工艺,相关产品已销往因英、美、德、法、瑞典、韩国等国家,中国的中科院微电子所、13所、55所、北京大学等单位已引进15台。Nanobeam 推出的NB5型电子束光刻机依靠特有双偏转系统和共轭关闸,实现在8英寸晶圆(兼容更小尺寸,任意形状样品)的样品单次曝光制备5nm图形结构。电子束加速电压20-100kV连续可调,束流0.2-120nA,写场拼接精度≤10nm,套刻精度≤10nm。3nm束斑直径时,束流可达到2nA。JEOL日本电子株式会社(JEOL Ltd., 董事长:栗原 权右卫门) 是世界顶级科学仪器制造商,成立于1949 年,总部设在日本东京都昭岛市武藏野3丁目1番2号,其事业范围主要有电子光学仪器、分析仪器、测试检查仪器、半导体设备、工业设备、医疗仪器等制造、销售和研发。JEOL集团的业务包括三个部分:科学/计量仪器、工业设备以及医疗器械。JEOL的电子束曝光机产品主要有电子束光刻系统(可变矩形束电子束光刻)、电子束光刻系统(圆形电子束光刻)等 。1967年,JEOL完成JBX-2A 电子束光刻系统;1998年,JBX-9000MV 电子束光刻系统完成;2002年,JBX-3030 系列电子束光刻系统完成;2017年,与IMS共同发布世界首台量产化电子束光刻机并投入市场。目前,JEOL的电子束曝光机产品主要包括JBX-8100FS 圆形电子束光刻系统、JBX-3050MV 电子束光刻系统、JBX-3200MV电子束光刻系统、JBX-9500FS电子束光刻系统和JBX-6300FS电子束光刻系统。JBX-8100FS 圆形电子束光刻系统JBX-8100FS圆形电子束光刻系统,具备高分辨率和高速两种刻写模式,非常适用于超微细加工以及批量生产。该设备减少了刻写过程中的无谓耗时,并将扫描频率提升至业界高水准的125MHz (以往机型的1.25~2.5倍),使其具备更高的生产能力。JBX-9500FS是一款100kV圆形束电子束光刻系统,兼具高水平的产出量和定位精度,最大能容纳300mmφ的晶圆片和6英寸的掩模版,适合纳米压印、光子器件、通信设备等多个领域的研发及生产。JBX-6300FS的电子光学系统在100kV的加速电压下能自动调整直径为(计算值)2.1nm的电子束,简便地描画出线宽在8nm以下(实际可达5nm)的图形。 此外,该光刻系统还实现了9nm以下的场拼接精度和套刻精度,性能比优越。利用最细电子束束斑(实测值直径≦2.9nm)可以描画8nm以下(实际可达5nm)极为精细的图形。JBX-3200MV是用于制作28nm~22/20nm节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。是基于加速电压50 kV的可变矩形电子束和步进重复式的光刻系统。利用步进重复式曝光的优点,结合曝光剂量调整功能及重叠曝光等功能,能支持下一代掩模版/中间掩模版(mask/reticle)图形制作所需要的多种补偿。JBX-3050MV 是用于制作45nm~32nm 节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。Hitachi日立(HITACHI)是来自日本的全球500强综合跨国集团,1979年便在北京成立了第一家日资企业的事务所。日立在中国已经发展成为拥有约150家公司的企业集团。为更好地解决邻近效应和 高加速压电子对器件的损伤问题,低能微阵列平行电子束直写系统将有希望成为纳米光刻的最好选择。开展这方面研发有代表性的是美国 ETEC 公司和日本的日立公司。日立推出的50 kV 电子束 (EB) 写入系统HL-800M,为 0.25 - 0.18 微米设计规则掩模制造而开发,并得到了广泛的应用。 HL-800M1999年12月,日立公司宣布推出HL-900M系列电子束光掩模写入系统,该系统是为满足用户对高精度掩模的需求而开发的。该系统基于HL-800M系列,引入了新的电子光学、低失真级和并行处理功能,用于处理大量数据,以实现更高的精度和更高的吞吐量。书写系统并不是实现高级掩模的唯一因素;制造工艺也很重要,并且在掩模制造工艺中使用化学放大抗蚀剂方面正在取得进展。HL-900M系列以150纳米或更高分辨率的高精度标线片制造为目标。该系统基于HL-800M,为了提高精度,引入了(1)高精度电子光学,(2)低失真载物台,(3)高精度温度控制系统,以及(4)用于处理大体积图案数据的并行处理功能。ElionixELIONIX成立于1975年,是一家从事纳米级加工与检测的中小企业。ELIONIX拥有资本金2亿7000万日元,目前共有员工100名。成立40余年,ELIONIX专注于电子束光刻、电子束硬盘刻蚀、超微材料分析等技术的研发,获奖无数,并成为以上技术市场中的隐形冠军。2004年以后,ELIONIX积极进军海外市场,目前客户遍布于美国,欧洲,中国等知名大学(哈佛、麻省理工学院,清华、北大等)和公共研究所。ELIONIX的电子光刻装置能够稳定且精准地控制电子束,同时将震动和磁场等外部干扰因素降到最低,电子束最细可达5纳米。ELIONIX的电子光刻装置拥有着世界顶级水平,目前占有50%以上的世界市场份额。ELS-F125是Elionix推出的世界上首台加速电压达125KV的电子束曝光系统,其可加工线宽下限为5nm的精细图形。ELIONIX的电子束光刻ELS系列可应用于光集成电路、SAW元器件以及其他各种传感器上。除了电子束,ELIONIX还有离子束光刻装置。2023年,ELIONIX发布了电子束光刻系统“ELS-HAYATE”。这是最新型号,具有业界最快的 400MHz 扫描频率和业界最大的 5mm 视场尺寸。ADVANTESTAdvantest(ADVANTEST CORPORATION)是一家日本半导体设备公司,专门提供广泛的半导体设备测试解决方案。该公司成立于1954年,现已成为半导体行业的领先企业之一。爱德万测试(ADVANTEST)的F7000 电子束光刻系统具有高通量和卓越的分辨率,并能够在1X-nm技术节点的晶圆上创建非常精确和平滑的纳米图案。其字符投影、直接写入技术使其非常适合作为研发和原型设计的设计工具,以及生产小批量多类型设备的 LSI 生产线的解决方案。F7000 支持各种材料、尺寸和形状的基板,包括纳米压印模板和晶圆,并针对各种应用进行了优化,例如高级 LSIs、光子学、MEMS 和其他纳米工艺。此外,用户还可以选择最适合其需求的配置,无论是独立配置还是在线配置,使 F7000 能够支持从研发到批量生产的各种应用。IMS NanofabricationIMS成立于1985年,位于奥地利维也纳,在2009年获得了英特尔的投资,并在2015年最终被英特尔收购。自从被英特尔收购以后,IMS在 2016 年发布了第一款商用多束掩模写入器MBMW-101,该产品比 EUV光刻工具精度更高,但速度非常慢,这是它们仅用于制造掩模版的一个重要原因。IMS Nanofabrication是NuFlare(东芝)的竞争对手,但东芝的工具不太精确,而且速度较慢。此外,NuFlare的多束掩模写入器在IMS Nanofabrication研发多年后才开始进入市场。超过90%的生产EUV掩模是使用IMS Nanofabrication 的多光束掩模写入器制造的。如果没有IMS Nanofabrication的掩模写入器,所有EUV工艺技术都将陷入停顿。EUV工艺技术被用于7nm以来的所有台积电、英特尔的工艺节点。2023年,英特尔公司宣布出售其旗下子公司IMS Nanofabrication 20%的股权,交易金额为8.6亿美元。此次交易将使英特尔公司减少对该公司的控制权,但仍将继续与IMS Nanofabrication保持合作关系。台积电在9月12日的临时董事会上宣布,拟不超4.328亿美元收购英特尔手中IMS Nanofabrication约10%股权。MBMW-101完全开发的多束掩模写入器(MBMW)为28至5nm的掩模技术节点提供精度和极高的生产率。2014年2月,世界上第一台用于6英寸光掩模的多束掩模写入器 MBMW Alpha工具问世。2016年,MBMW的数据速率提高了10倍,达到120 Gbit/s。截至2016 年,IMS 一直为掩模行业提供MBMW-101掩模写入器生产工具,用于 7 纳米技术节点。CRESTEC株式会社CRESTEC于1995年在东京成立以来一直专注于EBL技术。作为世界上为数不多的EBL设备专业制造商之一,在世界范围内EBL光刻机的销售实绩已经超过100台。其制造的电子束光刻机以其独特的专业技术,超高的电子束稳定性,电子束定位精度以及拼接套刻精度赢得了世界上著名科研机构以及半导体公司的青睐。其中 CABL 系列更是世界上仅有的产品之一。通过日本丰港株式会社在东亚及北美地区国家开展业务,实现产品知名度提升也会用户解决了实际需求。CRESTEC CABL 系列采用专业的恒温控制系统,使得整个主系统的温度保持恒定,再加上主系统内部精密传感装置,使得电子束电流稳定性,电子束定位稳定性,电子束电流分布均一性都得到了极大的提高,其性能指标远远高于其它厂家的同类产品,在长达5小时的时间内,电子束电流和电子束定位非常稳定,电子束电流分布也非常均一。由于EBL刻写精度很高,因此写满整个 Wafer 需要比较长的时间,因此电子束电流,电子束定位, 电子束电流分布均一性在长时间内的稳定性就显得尤为重要,这对大范围内的图形制备非常关键。CRESTEC CABL 系列采用其独有的技术使其具有极高的电子束稳定性以及电子束定位精度,在大范围内可以实现图形的高精度拼接和套刻。VistecVistec Electron Beam集团是设计、生产电子束光刻系统的国际顶级企业,为前沿电子束光刻领域提供尖端技术解决方案。Vistec集团在德国和美国拥有生产基地,在美国、欧洲、中国、日本、台湾和韩国设有技术服务中心。集团包括两部分,德国耶拿的Vistec电子束有限公司主要生产成形电子束光刻系统。美国纽约密执安的Vistec光刻公司主要生产高斯圆形束电子束光刻系统。Vistec的光刻系统是以可变形状光束(VSB)原理为主,其中使用强度均匀分布的可变形状和尺寸的电子束在基材上光刻光阻图案(也称为曝光或写入)。此外可使用更复杂的电子束形状即客制固定形状进行曝光,特别是使用这些技术能加快电子束的写入速度。基于可变异形光束(VSB)的原理,这些系统可用于各种直接结构化,例如在硅和化合物半导体晶圆上直接生成结构,用于光掩模的生产以及集成光学和光子学的应用。可变形状光束光刻系统主要用于应用研究、掩模和玻璃基板市场以及半导体行业。Vistec的电子束光刻系统在半导体制造中被广泛应用,用于芯片的制备和加工,包括先进的逻辑芯片、存储芯片、传感器芯片等。目前主要型号包括VISTEC SB3050-2和SB254。Vistec SB3050-2 是一款基于可变形状光束的高分辨率电子束光刻系统,可实现 300 mm 晶圆和 9 英寸掩模的完全曝光。Vistec SB254是一款通用的 VSB 电子束光刻系统,可完全曝光最大200 mm晶圆和 7”掩模。NuflareNuFlare(中文:株式会社紐富来科技)在2002年8月成立,是从东芝机械剥离出来的企业,2018年, 他们的销售额为587亿日元,员工人数为626人。NuFlare位于日本的神奈川线,主要的产品是半导体生产设备。其中掩膜光刻设备(40-45亿日元/台)占销售额的90%。1976年12月,以电子束掩膜光刻设备为中心的半导体制造设备业务的技术从株式会社东芝移交给东芝机械株式会社。1984年6月,公司与株式会社东芝综合研究所联合完成Variable Shaped Beam(可变形电子光束)型首台机——电子束掩膜光刻设备“EBM-130V”。1998年,通过与株式会社东芝的联合项目,开发Variable Shaped Beam(可变形电子光束)型电子束掩膜光刻设备EBM-3000,并进行产品化,成为了首台商用机,对应电路线宽为180 nm-150nm。开发并投产能够应对90nm制程的电子束掩膜光刻设备EBM-4000,并进行产品化(至此为东芝机械株式会社半导体设备事业部)。2002年,株式会社紐富来科技全面继承东芝机械株式会社半导体设备事业部的业务,并开始开展业务。2004年,NuFlare开发应对电路线宽65nm的“EBM-5000”,并进行产品化。2008年,开发并投产EBM-7000(应对32nmhp制程)。2011年,开发并投产EBM-8000(应对14nmTN/22nmhp制程)。2013年,开发并投产EBM-9000(应对10nmTN制程)。2019年,开发并投产EBM-9500PLUS(应对TN5nm/7nm+制程),并开发EBM-8000P(应对14/16nm、22-45nmhp制程)。2022年,开发并投产MBM™-2000(应对3nmTN制程)。NuFlare主要是由东芝机械与东芝合资成立的半导体先进制程设备,主要产品线是光罩微显影及缺陷检测。Nuflare原本是于1997年作为生产和销售印刷设备、造纸设备的东芝机械冲压工程设备公司成立,2002年从东芝机械继承了半导体生产设备业务,并开始了事业。与东芝集团的资本关系始于2002年东芝收购Nuflare的普通股份,后来慢慢提高出资比例,到2012年成为东芝的关联子公司。早前,东芝宣布要将NuFlare全盘拿下,但却半路杀出了个程咬金HOYA。HOYA在半导体制程中所使用的光罩基板拥有 7 成以上市占,另外该公司也有提供光罩解决方案。若HOYA能取得Nu装技术。该公司的 MEMS 技术是在 Zyvex 为期 5 年、耗资 2500 万美元的 NIST ATP 项目期间开发的,目前正用于制造微型科学仪器,例如微型扫描电子显微镜和微型原子力显微镜,以及下一代纳米探测系统。2022年,Zyvex Labs宣称推出世界上最高分辨率的光刻系统 — ZyvexLitho1,该工具使用量子物理技术来实现原子精度图案化和亚纳米(768 皮米——Si (100) 2 x 1 二聚体行的宽度)分辨率。ZyvexLitho1 是一款基于扫描隧道显微镜 (STM:Scanning Tunneling Microscopy) 仪器,Zyvex Labs 自 2007 年以来一直在改进该仪器。ZyvexLitho1 包含许多商业扫描隧道显微镜所不具备的自动化特性和功能。ZyvexLitho1所采用的电子束光刻(EBL)技术核心是使用氢去钝化光刻(HDL)从Si(100) 2×1二聚体列(dimer row)重建表面去除氢(H)原子,氢去钝化光刻是电子束光刻(EBL)的一种形式。该机器的用途包括为基于量子点的量子比特制作极其精确的结构,以实现最高的量子比特质量。该产品可用于其他非量子相关应用,例如构建用于生物医学和其他化学分离技术的纳米孔膜。不过该产品的缺点是吞吐量非常低,它可能更适合制造小批量的量子处理器芯片。KLA-TencorKLA-Tencor 拥有一种他们称之为 REBL 的技术:反射电子束光刻。该技术最初由DARPA资助。但目前在多电子束直写领域,多个消息来源表明,KLA-Tencor正在退出该市场,专注于其核心检测和计量工具业务。REBL系统示意图。电子源通过磁性棱镜照亮数字模式发生器(DPG)。来自DPG的反射电子定义了要曝光的图案,它们再次穿过磁性棱镜,从而将它们与照明束分离。然后将DPG图像缩小并投影到晶片上。贝尔实验室上世纪90年代,除了IBM与尼康合作分别提出了PREVAIL的基于掩模的多束平行电子束投影曝光方案外,贝尔实验室也提出了SCALPEL(具有角度限制的投影式电子束光刻技术)方案。1999年,一群半导体器件和设备制造商宣布了一项联合协议,旨在加速将SCALPEL技术开发为生产光刻解决方案,以构建下一代集成电路。该计划的参与者包括ATMT和ASML的合资企业eLithTM LLC;朗讯科技公司;摩托罗拉半导体产品部门;三星电子有限公司和德州仪器(TI)。但在两年后,ATMT 和 ASML决定解散eLith LLC(成立14个月,旨在SCALPEL技术商业化),急剧转向极紫外技术(EUV)作为下一代光刻解决方案。
  • 1337万!大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目
    项目编号:DUTASZ-2022066项目名称:大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目预算金额:1337.0000000 万元(人民币)最高限价(如有):1337.0000000 万元(人民币)采购需求:各包预算金额及最高限价:A包:X射线光电子能谱仪,预算金额:500万元;B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元;A包:X射线光电子能谱仪1套,用于材料表面结构分析检测,实现样品表面的元素组成及化学键状态的定性和定量分析;B包:电子束曝光系统1套、原子力显微镜1套 用于材料表面微观结构分析,满足对纳米级光刻加工技术的需求等3套教学与科研用仪器,改善实验室教学与科研条件,完善实验课程内容,提升科研实验水平及能力,具体要求详见招标文件。本项目“A包:X射线光电子能谱仪,B包:电子束曝光系统、原子力显微镜”可提供进口产品。进口产品是指通过中国海关报关验放进入中国境内且产自关境外的产品。注:A包、B包兼投不兼中,投标人只能成为一个包的中标人(具体内容及要求详见招标文件)。合同履行期限:A包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格;B包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格。本项目( 不接受 )联合体投标。
  • 690万!常州大学采购聚焦离子束-电子束双束电镜与飞行时间二次离子质谱仪联用系统
    项目概况聚焦离子束-电子束双束电镜与飞行时间二次离子质谱仪联用系统采购项目的潜在投标人应在常州润邦招标代理有限公司前台获取招标文件,并于2022年2月18日14点00分(北京时间)前递交投标文件。一、项目基本情况1.项目编号:常润公2022-0001号2.项目名称:聚焦离子束-电子束双束电镜与飞行时间二次离子质谱仪联用系统采购3.预算金额:人民币690万元4.最高限价:人民币690万元5.采购需求:本项目采购内容为聚焦离子束-电子束双束电镜与飞行时间二次离子质谱仪联用系统采购,包括设备及系统的采购、供货、安装、调试、测试、售后服务、质保、技术培训等,直至通过采购人验收。具体参数详见采购需求。序号设备名称数量单位1聚焦离子束-电子束双束电镜与飞行时间二次离子质谱仪联用系统1套7.合同履行期限:合同签订,免表办理好后6个月内完成供货、安装调试、经采购人验收合格并投入使用。8.本项目不接受联合体。9.本项目接受进口产品。二、申请人的资格要求1.满足《中华人民共和国政府采购法》第二十二条规定:(1)具有独立承担民事责任的能力;(2)具有良好的商业信誉和健全的财务会计制度;(3)具有履行合同所必需的设备和专业技术能力;(4)有依法缴纳税收和社会保障资金的良好记录;(5)参加政府采购活动前三年内,在经营活动中没有重大违法记录;(6)无其他法律、行政法规规定的禁止参与招投标或采购活动的行为,含下列情形:a.未被“信用中国”网站(www.creditchina.gov.cn)和 “中国政府采购网”网站(www.ccgp.gov.cn)列入失信被执行人、重大税收违法案件当事人名单、政府采购严重失信行为记录名单;b.单位负责人为同一人或者存在直接控股、管理关系的不同投标人,不得参加同一合同项下的政府采购活动。2.落实政府采购政策需满足的资格要求:无。3.本项目的特定资格要求:本项目接受进口产品投标,投标人所投设备为进口产品的,应提供以下之一的证明材料:(1)投标人为所投设备的授权经销(代理)商,必须提供生产(制造)商或上级经销(代理)商授权供应商的授权书,并提供逐级经销(代理)商的营业执照复印件。(2)投标人为本项目的授权投标人,必须提供生产(制造)商或授权经销(代理)商对本次招标的项目或所投产品的授权书,并提供逐级经销(代理)商的营业执照复印件。三、获取招标文件时间:2022年1月28日至2022年2月9日17:00时(北京时间,法定节假日除外)地点:常州市飞龙东路108号-304室(翠园世家商业街三楼)方式:(投标人可采取以下任一种方式获取招标文件)(1)线上申领:投标人在规定的时间内将相关材料扫描PDF文档发至本公司邮箱“2406652663@qq.com”并按邮箱回复要求交纳费用后,招标文件以邮件形式发送至投标人邮箱。报名咨询电话:0519-81882063。(2)现场申领:至常州润邦招标代理有限公司前台领取。(3)投标人获取招标文件时应提供如下材料:①招标文件获取申请表(格式见公告附件1)②投标人为企业的,提供企业营业执照(三证合一复印件加盖公章);投标人为事业单位的,提供事业单位法人证书(三证合一复印件加盖公章);投标人为自然人的,提供自然人身份证明文件(复印件及签名)。售价:人民币伍佰元/份。招标文件售后一概不退,未获取招标文件的投标人不得参与本项目投标。四、提交投标文件截止时间、开标时间和地点截止时间:2022年2月18日14点00分(北京时间)地 点:常州润邦招标代理有限公司开标室(一)五、公告期限自本公告发布之日起5个工作日。六、其他补充事宜1.本项目不组织现场踏勘。2.对招标文件需要进行澄清或有异议的投标人,均应在2022年2月10日12:00前按招标公告中的通讯地址,以书面形式(加盖公章)提交采购代理机构,否则视为无效澄清或异议。3.有关本次采购的事项若存在变动或修改,采购代理机构将通过补充或更正形式在相关网站上发布,因未能及时了解相关最新信息所引起的失误责任由投标人自负。4.费用缴纳账户信息如下(汇款请备注项目名称或编号)户名:常州润邦招标代理有限公司开户银行:江南农村商业银行龙虎塘支行账号:01080012010000003610财务电话(付款、开票咨询):0519-81882063七、对本次招标提出询问,请按以下方式联系1.采购人信息名称:常州大学地址:江苏省常州市武进区滆湖中路21号 联系方式:丁老师155012902882.采购代理机构信息名称:常州润邦招标代理有限公司地址:常州市飞龙东路108号-304室(翠园世家商业街三楼)联系方式:0519-818829933.项目联系方式项目联系人:周叶电话:0519-81882993网址:cg.czrbzb.com
  • 重大成果!电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱的仿生制造!
    生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能准确无误地识别出特定形状的物体!图:苍蝇特殊的“复眼”结构(图片来源于网络)这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。而在最近的一项研究中,昆士兰科技大学的研究团队首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造,并取得了重要研究成果。目前,该项研究论文已被Journal of Materials Chemistry(IF=4.776)录用,论文题目为Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars。研究中涉及的大量仿生制备工作由TESCAN 的EBL完成,并使用了TESCAN MIRA3场发射扫描电子显微镜表征细胞间相互作用。图:研究论文已被Journal of Materials Chemistry(IF=4.776)录用由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其在生物医学上的应用产生了浓厚兴趣。昆士兰科技大学Prasad KDV Yarlagadda及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并首次使用电子束曝光技术(EBL)进行蝉翼结构的仿生制造,加工出类似的纳米锥阵列结构,经研究发现,其同样具有杀菌和生物相容性。首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。图:不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。昆士兰科技大学的研究团队巧妙地利用电子束在光刻胶中的散射,通过控制电子束能量,制作出椎体的“模子”,然后利用沉积生长出需要的椎体,最后腐蚀掉所有光刻胶,得到了完美的纳米锥阵列。图:仿生纳米锥阵列的制作过程示意图最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且,进一步实验发现,其同样具有杀菌性和生物相容性。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。 图:通过EBL技术制备的仿蝉翼结构的Ti纳米锥陈列图:(E)在制备出的仿生Ti纳米锥阵列上附着铜绿假单胞菌细胞;(F)对照Ti柱和仿生纳米Ti柱上附着的人成骨细胞的活性;(G)在仿生Ti纳米锥阵列上附着扩散良好的成骨细胞;电子束曝光(EBL)技术是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物(光刻胶)的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光(EBL)技术避免了传统方法中对模板加工和使用的复杂过程,其高分辨、高度灵活性、高灵敏度的特点也受到研究人员关注,且EBL制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。在上述工作中,昆士兰科技大学研究团队使用了TESCAN MIRA3高分辨场发射扫描电子显微镜搭配TESCAN自主研发的电子束曝光(EBL)技术出色完成了相关工作。不久前,昆士兰科技大学新采购了一台TESCAN最新的S8000X Xe Plasma FIB-SEM,这是一款功能强大的氙等离子源FIB,配置了TESCAN最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果!图:昆士兰科技大学最新采购的TESCAN S8000X Xe等离子源FIB-SEM 注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。
  • 689.35万!聚焦离子束-电子束双束电镜与飞行时间二次离子质谱仪联用系统采购结果公布
    一、项目编号:常润公2022-0001号  二、项目名称:聚焦离子束-电子束双束电镜与飞行时间二次离子质谱仪联用  系统采购  三、中标(成交)信息  供应商名称:建发(上海)有限公司  供应商地址:中国(上海)自由贸易试验区张杨路620号1201室  中标(成交)金额:人民币陆佰捌拾玖万叁仟伍佰元整(¥6893500.00)  四、主要标的信息货物类名称:聚焦离子束-电子束双束电镜与飞行时间二次离子质谱仪联用系统品牌(如有):见附件项目清单规格型号:见附件项目清单数量:见附件项目清单单价:见附件项目清单
  • 电子束加热控制器研制
    成果名称电子束加热控制器单位名称中科院物理研究所联系人郇庆联系邮箱qhuan_uci@yahoo.com成果成熟度□正在研发 □已有样机 □通过小试 □通过中试 √ 可以量产合作方式√ 技术转让 √ 技术入股 □合作开发 √ 其他成果简介: 电子束加热是实验中经常用到的样品加热、蒸发和处理方式,加热中需要给灯丝提供电流、提供所需的高压电源甚至还需要束流检测和反馈控制。该电子束加热控制器集成了电子束加热所需的全部功能,可以在手动、恒压、恒发射电流、恒加热功率以及束流反馈等多种模式下工作。采用ARM为核心的主控系统和5.6寸触摸液晶屏,操作简便、界面友好。具备以太网口、USB口等多种数字接口,可实现数据存储输出、固件的远程更新和远程故障诊断。目前该设备已在国内外多家单位进行了尝试性推广,包括中科院物理所、清华大学、北京大学、复旦大学、中国科技大学、武汉物数所、美国伊利诺伊大学芝加哥分校等,反响很好。其主要技术指标为: 最大输出功率: 250W 输出电压范围: 0~2KV 输出电流范围: 0~125mA 灯丝电流: 0~3A 工作模式: 手动/自动(恒压、恒发射电流、恒加热功率、恒束流) 束流检测范围: 100pA~1mA 最小分辨率为1pA 应用前景: 主要用于电子束加热样品台、电子束加热蒸发源等装置,也可单独作为手动高压电源使用。应用范围广,估计每年国内市场需求在百套以上。知识产权及项目获奖情况: 发明专利:201410527768.4 201510220859.8
  • 电子束加热蒸发源研制
    成果名称电子束加热蒸发源单位名称中科院物理研究所联系人郇庆联系邮箱qhuan_uci@yahoo.com成果成熟度□正在研发 □已有样机 □通过小试 □通过中试 √ 可以量产合作方式□技术转让 □技术入股 □合作开发 √ 其他成果简介: 电子束加热蒸发源是采用电子束加热的方式对材料进行热蒸发,电子束加热方式具有污染小、加热集中、效率高的特点,适用于熔点高的材料的蒸发沉积。我们的电子束加热蒸发源采用超高真空兼容设计(CF35法兰),具有水冷、水冷温度检测、手动挡板、线性进样、高压接口、束流检测等功能。该蒸发源可以对棒状导电材料直接进行加热蒸发,也可采用多种材料的坩埚,对粉末、半导体以及绝缘体材料进行热蒸发。全部设计为自主开发完成,具有加热效率高、极限温度高的特点,可以完成熔点最高的金属钨的蒸发。该技术目前已在国内外多家高校和科研机构尝试性推广(中科院物理所、清华大学、北京大学、复旦大学、中国科技大学、华中科技大学、中科院武汉物数所、IBM实验室、匹兹堡大学等),收到一致好评。其主要技术指标为: 安装法兰: CF35 超高真空兼容性: 是 可烘烤至 200℃ 腔内直径: 34mm 腔内长度: 170mm~400mm可定制 源数量: 1 冷却方式: 水冷 束流检测范围: 0.1nA~10uA 灯丝电流: 0-2.5A 高压: 0-2500V 最高功率: 250W 蒸发温度: 高于 3000℃ 蒸发方式和尺寸: 源棒材 尺寸 (直径 1~4mm. 长度 20~100mm ) 金属坩埚 (钨、钼、钽可选;0.1cc、0.15cc、0.25cc、0.35cc、0.45cc).应用前景: 主要用于分子束外延系统以及其他超高真空设备中的高温金属材料、半导体材料等的热蒸发沉积。应用范围广,每年国内市场需求在百套以上。知识产权及项目获奖情况: 发明专利:201310052836.1
  • 韩立:电子束曝光机是半导体制造的基础设备
    p style=" text-align: justify text-indent: 2em " 10月15日-16日,中国科学院半导体研究所、仪器信息网联合主办首届“半导体材料与器件研究与应用”网络会议(i Conference on Research and Application of Semiconductor Materials and Devices, iCSMD 2020),22位业内知名的国内外专家学者聚焦半导体材料与器件的产业热点方向,进行为期两日的学术交流。 /p p style=" text-align: justify text-indent: 2em " 会议期间,来自中国科学院电工研究所的韩立研究员做了《电子束曝光及相关技术的研究》的报告。 /p p style=" text-align: center text-indent: 0em " script src=" https://p.bokecc.com/player?vid=7657F36C41DF1A879C33DC5901307461& siteid=D9180EE599D5BD46& autoStart=false& width=600& height=350& playerid=621F7722C6B7BD4E& playertype=1" type=" text/javascript" /script /p p style=" text-align: justify text-indent: 2em " 据介绍,电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。 /p p style=" text-align: justify text-indent: 2em " 韩立在报告中谈到,电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。 /p p style=" text-align: justify text-indent: 2em " 电子光柱体主要作用是通过控制束斑、束流、加速电压、最小线宽、写场尺寸和扫描频率,来实现束斑小,亮度高,速度快的曝光。但这些参数控制往往相互矛盾,对此韩立介绍了电工所和日本电子的解决方案。 /p p style=" text-align: justify text-indent: 2em " 图形发生器主要用于解决复杂图形控制难题,以提高扫描速率、生产率和图形复杂度。如果直接对曝光点位进行曝光,数据量太大而难以处理,因此需要将复杂的原始图形切割成基本图形,这样就能用简单的参数来实现控制。为保证控制精度,图形发生器从单束发展到多束,同时用激光束来补偿位置的偏移。 /p p style=" text-align: justify text-indent: 2em " 激光工件台以平面镜激光干涉仪作为整个系统的测量基准,主要有光栅扫描和矢量扫描两种工作方式。工件台主要性能指标包括了加工精度、拼接精度和套刻精度,主要通过结合激光干涉仪来实现。 /p p style=" text-align: justify text-indent: 2em " 目前,我国电子束曝光机严重依赖进口,但国外已禁止对中国出售最新型号的设备。对此,韩立结合在电工所多年的电子束曝光技术研发经历和应用推广情况,深入探讨了如何在电子束曝光机研制中取得突破,提出了自己的一些真知灼见。 /p
  • 应用材料公司推出用于先进存储器和逻辑芯片的新型刻蚀系统Sym3
    p 2020年8月7日,应用材料公司今天宣布为其大获成功的Centris& reg Sym3& reg 刻蚀产品系列再添新成员。现在,该系列产品能让芯片制造商在尖端存储器和逻辑芯片上以更加精细的尺寸成像和成型。 /p p 应用材料公司的Centris& reg Sym3& reg Y刻蚀系统能让芯片制造商在尖端存储器和逻辑芯片上以更加精细的尺寸成像和成型。 /p p 新型Centris Sym3& reg Y是应用材料公司最先进的导体刻蚀系统。该系统采用创新射频脉冲技术为客户提供极高的材料选择性、深度控制和剖面控制,使之能够在3D NAND、DRAM和逻辑节点(包括FinFET和新兴的环绕栅极架构)创建密集排列的高深宽比结构。 /p p Sym3系列成功的关键在于其独特技术特征:高电导反应腔架构能够提供特殊的刻蚀剖面控制,快速有效地排出每次晶圆工艺产生的刻蚀副产物。Sym3 Y系统采用保护关键腔体组件的专有新型涂层材料,扩大了该成功架构的优势,从而进一步减少缺陷并提高良率。 /p p Sym3刻蚀系统于2015年首次推出,如今已成为应用材料公司历史上最迅速大量占领市场的产品。时至今日,Sym3反应腔出货量达到了5000台大关。 /p p 应用材料公司的战略是为客户提供全新的材料成型和成像方法,以实现新型3D结构并开辟继续进行2D微缩的新途径,而Sym3系列正是实现这一战略的关键产品。应用材料公司采用独特的化学气相沉积(CVD)镀膜技术对Sym3系统进行协同优化,让客户能够增加3D NAND内存器件中的层数,并减少DRAM制造中四重成型所需的步骤数。应用材料公司会将上述技术与其电子束检测和审查技术一同部署,以加快研发并大规模实现行业最先进节点的产量爬坡,从而帮助客户改善芯片功耗、增强芯片性能、降低单位面积成本并加快上市时间(PPACt)。 /p p 应用材料公司半导体产品事业部副总裁兼总经理Mukund Srinivasan博士表示:“应用材料公司在2015年推出Sym3系统时采用了全新方法进行导体刻蚀,并解决了3D NAND和DRAM中一些最棘手的刻蚀难题。今天,在最先进的存储器和代工厂逻辑节点中,关键刻蚀和极紫外(EUV)图形化应用呈现出强劲的发展势头和增长。未来,我们将继续升级并助力业界向下一代芯片设计演进。” /p p 每个Sym3 Y系统均包括多个刻蚀和等离子清洁晶圆工艺反应腔,并由智能系统控制可确保每个反应腔都拥有一致的性能,从而实现稳定的工艺和高生产力。全球多家领先的NAND、DRAM和代工厂逻辑节点客户都在使用这一新系统。 /p
  • 1780万!哈尔滨工程大学X射线/紫外光电子能谱和聚焦离子束-电子束曝光系统(FIB-EBL)采购项目
    一、项目基本情况1.项目编号:ZG-ZWG-2023168/2758-234ZGZB23168项目名称:哈尔滨工程大学X射线/紫外光电子能谱采购项目预算金额:900.000000 万元(人民币)最高限价(如有):900.000000 万元(人民币)采购需求:X射线/紫外光电子能谱1套合同履行期限:合同签订后12个月内完成所有设备到货,所有设备调试完毕并具备验收条件本项目( 不接受 )联合体投标。2.项目编号:ZG-ZWG-2023066/2758-234ZGZB23066项目名称:哈尔滨工程大学聚焦离子束-电子束曝光系统(FIB-EBL)采购项目预算金额:880.000000 万元(人民币)最高限价(如有):880.000000 万元(人民币)采购需求:聚焦离子束-电子束曝光系统(FIB-EBL)一套合同履行期限:合同签订后12个月内完成所有设备到货,所有设备调试完毕并具备验收条件本项目( 不接受 )联合体投标。二、获取招标文件时间:2023年11月06日 至 2023年11月13日,每天上午8:30至12:00,下午12:00至16:30。(北京时间,法定节假日除外)地点:黑龙江中冠项目管理有限公司(中国黑龙江省哈尔滨市道里区友谊西路2982号);方式:拟参加本项目的潜在投标人,请于2023年11月06日至2023年11月13日,每天上午08时30分至下午16时30分到黑龙江中冠项目管理有限公司(中国黑龙江省哈尔滨市道里区友谊西路2982号)获取采购文件,采购文件不予邮寄;售价:¥500.0 元,本公告包含的招标文件售价总和三、对本次招标提出询问,请按以下方式联系。1.采购人信息名 称:哈尔滨工程大学     地址:哈尔滨市南岗区南通大街145号        联系方式:王老师 0451-82519862      2.采购代理机构信息名 称:黑龙江中冠项目管理有限公司            地 址:中国黑龙江省哈尔滨市道里区友谊西路2982号            联系方式:刘女士 0451-82663366转8008/8006            3.项目联系方式项目联系人:刘女士电 话:  0451-82663366转8008/8006
  • 三大产品线全力升级 东方晶源引领国内电子束量测检测发展
    电子束量检测是半导体量检测领域的主要技术类型之一,在半导体制程不断微缩,光学检测对先进工艺图像识别的灵敏度逐渐减弱的情况下,发挥着越来越重要的作用。电子束量检测设备对于检测的精度、可适用性、稳定性、吞吐量等要求很高,其研发和设计非常具有技术挑战性。作为布局该领域最早的国内企业之一,东方晶源已先后成功推出电子束缺陷检测设备EBI,关键尺寸量测设备CD-SEM(12英寸和6&8英寸),电子束缺陷复检设备DR-SEM,占据电子束量测检测三大主要细分领域,产品多样化和产品成熟度走在前列。同时,经过持续的迭代研发,三大产品线全力升级、性能指标进一步提升,引领国内电子束量测检测产业高速发展。EBI:历时三代焕新,检测速度提升3-5倍EBI(电子束缺陷检测设备)是集成电路制造中不可或缺的良率监控设备。其基本原理是结合扫描电镜成像技术、高精度运动控制技术、高速图像数据处理和自动检测分类算法等,在集成电路制造关键环节对晶圆及集成电路的物理缺陷和电性缺陷进行检测,避免缺陷累积到后续工艺中。东方晶源早在2019年就成功研发并推出的SEpA-i505是国内首台电子束缺陷检测设备,可提供完整的纳米级缺陷检测和分析解决方案,在2021年便进入28nm产线全自动量产。经过数年研发迭代,新一代机型SEpA-i525在检测能力和应用场景方面得到进一步拓展。在检测速率方面,新款EBI产品可兼容步进式和连续式扫描,连续扫描模式适用于存储Fab,结合自研探测器的性能优化,较上一代机型能带来3倍-5倍的速度提升;新开发的电子光学系统可支持negative mode检测方式和40nA以上的检测束流;同时引入多种wafer荷电控制方案,降低荷电效应对图像的影响。在应用场景方面,东方晶源的EBI设备也从逻辑Fab领域延伸至存储Fab,可以为客户解决更多的制程缺陷问题。此外,东方晶源EBI设备基于DNA缺陷检测引擎,采用图前台与运算后台低耦合,支持同步online/offline inspection。集成多种先进缺陷检测算法(D2D、C2C等),可以满足用户不同应用需求,有效提高Capture Rate,降低Nuisance Rate。采用的自动缺陷分类(ADC)引擎,其Model-Based ADC模块基于深度学习、自动特征选取、融合置信度的聚类算法,可以有效提升自动缺陷分类的Purity和Accuracy;Rule-Based ADC模块则保留了人工经验的灵活性,在小样本的场景下可以快速创建。CD-SEM:面向6/8/12英寸产线全面布局CD-SEM(关键尺寸量测设备)主要是通过对于关键尺寸的采样测量,实现对IC制造过程中,光刻工艺后所形成图形尺寸进行监控,以确保良率。东方晶源的CD-SEM分为12英寸和6&8英寸兼容两个产品系列,均已进入用户产线,可支持Line/Space、Hole/Elliptic、LER/LWR等多种量测场景,满足多种成像需求。12英寸CD-SEM新一代机型SEpA-c430经过2年的迭代,在量测性能和速度上实现全面提升,目前也在多个客户现场完成验证。该产品的量测重复精度达到0.25nm,满足28nm产线需求;通过提升电子束扫描和信号检测,产能提高30%;新推出的晶圆表面电荷补偿功能,可以提高光刻胶量测的能力。新机型还增加了自动校准功能,可确保较高的量测一致性,为产品的大规模量产做好了准备。除12英寸产品外,东方晶源6&8英寸CD-SEM产品相较国际大厂新设备的交期长、价格高具有更高的性价优势。面向第三代半导体市场推出的SEpA-c310s,不仅实现了6&8 英寸兼容,同时还可兼容不同材质的晶圆(例如GaN/SiC/GaAs),兼容不同厚度的晶圆(例如350um,1100um)。该产品已在多个头部客户实现了量产验证。值得一提的是,2022年底东方晶源ODAS LAMP产品已正式发布。ODAS LAMP全称为Offline Data Analysis System, Large Scale Automatic Measurement Purpose产品,中文名称为大规模CD量测离线数据处理系统。ODAS LAMP作为CD-SEM量测设备的配套工具,目的在于方便CD-SEM用户利用设计版图离线创建和修改CD-SEM recipe,并且提供对CD-SEM量测结果的review功能,也可以在CD-SEM图像上进行离线再量测,提升机台利用率。DR-SEM:瞄准新需求,开拓新领域DR-SEM(电子束缺陷复检设备)是东方晶源最新涉足的细分领域。根据SEMI数据,2024年12英寸产线DR-SEM需求量约为50台。未来3-4年,12英寸产线DR-SEM设备总需求量约为150台,具有广阔的市场空间。2023年东方晶源推出首款SEpA-r600,目前已经出机到几个头部客户进行产线验证。在设备开发过程中,得益于公司前期的技术积累,开发进程得以显著缩短。图像质量已达到客户需求,CR95%,接近成熟机台水平。在辅助光学系统复检OM的研发方案选择中,东方晶源独立开发出一套全新光学窗口成像系统。借助于这套系统,目前已完成对unpatterned wafer的光学复检功能的开发,实现了auto bare wafer review的功能,满足客户对70nm左右defect的复检需求。也就是说,东方晶源的DR-SEM设备不仅能够进行pattern wafer auto review ,也能够进行unpattern wafer review功能,并附带缺陷元素分析。另外,DR-SEM的高电压电子枪能够满足客户对浅层缺陷的分析,同时对较深的孔底部也能够有明显的信号。根据针对客户需求深度拆解,这款DR-SEM设备还引入了全彩OM,能实现色差调整,以满足不同film内部color defect的检测,为客户提供更多的表征手段。未来,东方晶源新一代DR-SEM设备将结合下一代自研EOS,搭配深紫外DUV辅助光学检测系统,预期可满足更先进制程全流程的defect复检需求。从2021年6月EBI设备通过产线验证进入全自动量产以来,东方晶源加快研发步伐,先后又成功推出12英寸CD-SEM、6&8英寸兼容CD-SEM、DR-SEM多款产品,并持续通过迭代升级提升设备性能和效率,解决了国产半导体发展中的关键难题,领跑国内相关领域发展。未来,东方晶源将围绕集成电路良率管理继续深耕,为产业带来更多的硬件和软件产品,推动行业发展和进步。
  • 中科院物理所率先实现基于石墨烯的各向异性刻蚀技术
    最近,中国科学院物理研究所/北京凝聚态物理国家实验室(筹)张广宇研究组与高鸿钧研究组、王恩哥研究组合作,利用自制的远程电感耦合等离子体系统,首次成功实现了石墨烯的可控各向异性刻蚀。这种基于石墨烯的各向异性刻蚀技术是我国科学家在该研究领域中独具特色的工作,相关结果发表在【Advanced Materials (2010)】,并得到了审稿人的高度评价。   石墨烯(graphene),是继富勒烯、碳纳米管之后被科学家们发现的又一种新的碳元素结构形态。作为一种室温下稳定存在的二维量子体系,石墨烯打破了凝聚态物理的理论,推翻了人们以前普遍接受的严格的二维晶体无法在有限的温度下存在的科学预言,对凝聚态物理的发展产生了重大的影响。不仅如此,石墨烯表现出来的一系列独特的电学输运特性、光学耦合和其他新奇的物理特性,以及利于剪裁加工的二维特性,使其在分子电子学、微纳米器件、超高速计算机芯片、高转换效率电池、固态气敏传感器、太赫兹学等领域可能有重要的应用前景。   然而,由于石墨烯的导带与价带之间没有能隙,做成晶体管器件时,很难实现开关特性,而且若要运用于现在普遍使用的逻辑电路,其金属性也是一个巨大的难题。如何在石墨烯中引入能隙,成为人们关注的热点问题,这也为石墨烯的制备提出了新的挑战。一般引入能隙的手段主要有:(1) 利用对称性破缺场或相互作用等使朗道能级发生劈裂,在导带与价带之间引入能隙。这主要通过掺杂、外加电场、化学势场等方式在双层石墨烯中引入对称破缺,实现人工调制能隙。(2) 利用量子限域效应和边缘效应,通过形成石墨烯纳米结构(如 nanoribbons纳米带)引入能隙,通过调节带宽,可以实现对带隙宽度的调节。(3) 利用化学气相沉积法掺杂(如B、N等)产生能隙,通过调节掺杂程度可实现对能隙的调节。(4)利用基底作用诱导(如SiC基底上的外延石墨烯)产生能隙,通过调节基底的作用程度可实现对能隙的调节。此前,张广宇研究组与高鸿钧研究组和陈小龙研究组合作,利用拉曼光谱学的手段,系统地研究了外延石墨烯与碳化硅基底之间的电荷转移机制,为未来这类样品制作电子学器件提供了技术参考依据。相关结果发表在【J. Appl. Phys. 107, 034305, (2010)】。   基于已有的实验结果,大家一致认为这四种方法中最可行、最具应用价值的当属石墨烯的纳米结构。目前,石墨烯纳米结构的制备技术和电学性能的研究都有飞速的发展,但要实现大规模集成石墨烯纳米结构器件的应用,如何利用现有的微纳加工技术获得边缘可控的石墨烯纳米结构是亟待解决的难题。虽然国际上已有少数研究组利用金属粒子催化加氢反应或利用SiO2衬底与石墨烯的选择性反应来实现石墨烯选择性的各向异性刻蚀,但这些方法的刻蚀速率不可控,刻蚀取向不确定,且无法与传统的微纳加工技术兼容,从而无法实现石墨烯纳米结构器件的集成加工。   张广宇等人此次实现的这种基于氢等离子体的干法刻蚀技术受等离子体强度和样品温度的调控,刻蚀速率可以精确控制在几个nm/min,且不会引入新的缺陷。由于石墨烯特殊的六角对称性,这种方法可以得到近原子级规则的Zigzag边缘结构。他们还利用这种干法刻蚀技术结合电子束光刻技术首次实现了对石墨烯纳米结构的精确加工和剪裁。这种技术的优势在于可以对石墨烯结构进行原子级尺度加工和对于石墨烯质量的保持性。这种可以沿固定晶向,得到固定的边缘结构的加工剪裁石墨烯的技术是传统技术所无法实现的,为未来大规模精确控制、加工具有确定晶向和边缘结构的石墨烯纳米结构奠定了技术基础。   这项工作得到了中科院“百人计划”、国家自然科学基金和“973”项目的支持。      图1新鲜解理的石墨(a)表面光滑台阶清晰可见,不同功率。(b)50W和(c)100W氢等离子体刻蚀过的石墨表面,显示出了形状规则的正六边形孔。(d)刻蚀速率随温度的变化关系。(e)刻蚀速率随时间的变化关系,证明刻蚀速率可精确稳定的控制在几纳米/分钟。      图2 同样的各向异性刻蚀效应在机械剥离的石墨烯中也如此。氢等离子体刻蚀过的单层(a)、两层(b)及多层(c)石墨烯,正六边形孔洞清晰的形成于缺陷处。(d)单层及两层石墨烯刻蚀速率随温度的变化关系。(e)拉曼光谱表征,几乎看不到代表缺陷态的拉曼D峰,证明石墨烯的晶体质量并没有被温和的氢等离子体破坏。      图3 氢等离子体刻蚀出的单层正六边形孔洞边缘的扫描隧道显微镜成像(a)恒流模式高度像,(b)原子分辨像,(c)二维傅里叶变换图,显示出刻蚀得到的近原子级规则的边缘与zigzag取向平行,且在边缘处观察到了驻波。(d)对应的结构示意图。      图4 利用电子束曝光与各向异性刻蚀方法相结合制备具有特定取向的sub-20nm石墨烯纳米带的流程图(a)。具体过程如图(b)电子束曝光和氧等离子体刻蚀得到的起始宽度为120nm的石墨烯条带,经过氢等离子体各向异性刻蚀之后细化到sub-20nm的石墨烯纳米带如图(c)。(d)石墨烯纳米带场效应晶体管器件的结构示意图,石墨烯为接触电极,(e)不同宽度的石墨烯纳米带的器件,(f)对应的转移特性曲线,证明8nm宽的石墨烯纳米带能在室温下实现2个数量级的开关比。
  • 重磅!电子束灭活冷链食品外包装新冠病毒项目成果通过评审,示范应用装置完成研制
    3月29日,中国广核集团会同清华大学核能与新能源技术研究院和医学院、中国科学院近代物理研究所、深圳国家感染性疾病临床医学研究中心、深圳市第三人民医院,在深圳联合召开项目成果发布会,宣布电子束灭活冷链食品外包装新冠病毒项目成果通过由中国科学院院士詹文龙等7位专家组成的专家组评审,并完成我国首台套用于冷链食品外包装消毒的示范应用装置研制。项目成果专家评审会现场(图片来源:中国广核集团微信公众号)此次评审会专家组由中国科学院院士詹文龙、赵红卫,中国疾病预防控制中心消毒学首席专家张流波、广东省疾病预防控制中心副主任林立丰、生物医学专家马岚、中国农业科学院教授哈益明、清华大学教授马辉等7名专家组成。评审会现场,经过充分质询、现场答辩、研究讨论,专家组认为:本项目对进口冷链食品预防性全面消毒要求做出快速响应,率先在P3实验室开展了电子束灭活新冠病毒的实验,验证了电子束灭活新冠病毒的有效性和穿透深度可控等优势。该项目充分考虑了冷链环境因素对电子束灭活病毒的影响,为开发冷链食品外包装预防性消毒新技术提供了科学依据,标志着我国低能量电子束灭活新冠病毒研究和应用技术走在国际前列。本项目为解决冷链食品外包装新冠病毒污染问题提出的电子束消毒技术方案,在低能电子帘加速器应用、自屏蔽系统及束下传输系统设计等多处进行技术创新应用。设备总体实现不影响食品和保护作业人员安全,具有较优的冷链食品处理效率和灭活病毒效果。评审组建议尽快安装到应用现场进行示范,进一步形成产品加快使用,并把电子束消杀技术应用到其他货品新冠病毒灭活。深圳市第三人民医院P3实验室实验监控画面(图片来源:中国广核集团微信公众号)国家原子能机构副主任张建华,国家卫生健康委员会疾病预防控制局一级巡视员贺青华,中国广核集团有限公司党委书记、董事长、总经理杨长利,深圳市卫生健康委党组成员、一级巡视员罗乐宣,清华大学核能与新能源技术研究院党委书记唐亚平出席当天的评审会并讲话。国家原子能机构高洪滨、清华大学孟宪飞、中国核能行业协会龙茂雄、中国同位素与辐射行业协会郭丽莉、深圳市疾病预防控制中心夏俊杰、深圳市市场监督管理局单友亮、深圳市机场(集团)有限公司王穗初、中国广核集团有限公司庞松涛等出席评审会。2020年6月以来,我国发生多起进口冷链食品外包装或环境中检测出新冠病毒核酸呈阳性案例,对国内“外防输入、内防反弹”疫情防控工作带来严峻挑战。为贯彻落实习近平总书记科技抗疫的重要指示精神,应对境外新冠肺炎疫情对我国安全防控形势带来的风险,强化“外防输入、内防反弹”“人物同防”的疫情防控体系,探索重大疫病防控的新技术手段,在国家原子能机构的指导和支持下,2020年10月30日,中广核核技术发展股份有限公司联合清华大学核能与新能源技术研究院及医学院、中国科学院近代物理研究所、深圳国家感染性疾病临床医学研究中心、深圳市第三人民医院等单位,启动电子束灭活新冠病毒项目科研攻关。2020年12月,项目研究团队开展了重组新冠病毒的灭活实验,取得了初步的实验结果。2021年1月10日,项目研究团队在深圳市第三人民医院P3实验室,正式开展了首批电子束杀灭活体新冠病毒实验。截至2021年3月10日,经过11批次、190个样本的验证实验,研究团队全面掌握了电子束灭活新冠病毒的方法,以及不同病毒滴度、载体介质、吸收剂量等影响下的灭活效果数据。实验结果表明,5~10KGy的电子束吸收剂量可完全灭杀滤纸表面的活体新冠病毒,经过三代盲传不显阳性,充分验证了电子束对新冠病毒的灭活效果。首台冷链食品外包装电子束消毒设备样机启动现场模拟实验(图片来源:中国广核集团微信公众号)项目研究团队根据已掌握的实验成果,结合深圳市进口冷链食品预防性全面消毒工作的实际情况,研发出首台套用于灭活冷链食品外包装新冠病毒的自屏蔽电子帘加速器设备。设备样机已于3月14日完成工厂内的安装调试,并完成现场模拟实验,成套示范装置3月底具备安装调试条件。深圳市作为中国特色社会主义先行示范区,在国内首创了进口冻品集中监管仓模式, 对国家新冠疫情外防输入作出了突出贡献。在当日结束的评审会后,深圳市有关主管部门还与中广核技现场签署合作意向书,支持并共同探索推进电子束灭活新冠病毒技术首台套装备在冷链外包装消毒上先行先试。电子束灭活冷链食品外包装新冠病毒项目成果发布会现场(图片来源:中国广核集团微信公众号)清华大学核能与新能源技术研究院副院长王建龙介绍了电子束灭活新冠病毒的原理。该项目通过电子加速器产生的电子束直接作用,以及电子束激发水分子产生羟基自由基(• OH)、还原性水合电子(eaq-)等活性粒子的氧化-还原的间接作用,对包括新冠病毒在内的微生物体内的DNA或RNA分子、蛋白质包膜等产生破坏,进而达到消毒灭菌的作用效果。2020年11月,中广核与清华大学核能与新能源技术研究院合作建成投运的国内首个电子束处理医疗废水项目——湖北十堰西苑医院项目,已经证明电子束对废水里的致病微生物、病毒有良好的灭活效果,并能够完全实现抗生素降解。同时,对包括新冠病毒在内的微生物,电子束具有灭活速度快、效率高、效果好,灭活效果不受环境温度的影响,且无污染、无残留,不影响食品安全,可有效解决传统处理方法的技术缺陷,提高处理效果。中国科学院近代物理研究所电子加速器研究中心主任、中广核加速器研究院总工程师张子民表示,研发的灭活装置采用低能量的自屏蔽电子帘加速器,电子束能量低,对纸制品的穿透能力仅0.3毫米左右,主要针对冷链食品外包装消毒,不会穿透冷链食品外包装,不对包装箱内的食品产生影响,也不会对设备运行现场环境产生影响。同时,设备占地面积不超过40平方米,能够根据现有冷链食品外包装消毒的实际,直接将设备部署到海关、口岸、集中监管仓等地,与冷链运输和仓储实现无缝对接,为冷链外包装消毒提供更加绿色、环保、高效的解决方案,可成为国内疫情防控“外防输入”的“利器”。深圳国家感染性疾病临床医学研究中心主任、深圳市第三人民医院党委书记、院长刘磊认为,我国已经在新冠病毒疫苗(预防)和抗体(治疗)研究上取得了突出成就,本项目技术成果及其应用,将在我国落实“人、物同防”,切断新冠病毒传播途径方面提供更优的解决方案,意义十分重大!针对该项目成果,杨长利表示,新冠肺炎疫情发生以来,中国广核集团积极贯彻落实习近平总书记重要批示指示精神和党中央国务院决策部署,保障电力稳定供应,发挥科技力量助力疫情防控。利用电子束技术,在防疫物资消毒灭菌、补齐医疗污水和固体废物处理短板等方面发挥了重要作用。电子束灭活新冠病毒项目的成功,将为国家切断冷链外包装疫情传播途径,作出新的贡献。中国广核集团将始终贯彻落实以人民为中心的发展思想,秉承“创新、协调、绿色、开放、共享”的新发展理念,坚定不移走自主创新和高质量发展道路,与各参研单位积极推动电子束灭活冷链食品包装项目新冠病毒装置的示范应用和推广,进一步拓展技术应用范围,实现电子束技术对冷链食品及包装消毒应用场景全覆盖,以核技术力量助力疫情防控,让人类生活更美好!参加评审会的还有国家原子能机构、国家卫生健康委员会、中国科学院、中国疾病预防控制中心、中国农业科学院、清华大学、中科院近代物理研究所、中国核能行业协会、中国同位素与辐射行业协会、广东省疾病预防控制中心、深圳市卫生健康委员会、深圳市市场监督管理局、深圳市疾病预防控制中心、深圳市第三人民医院、深圳市机场(集团)有限公司、深圳海关进口冷链食品风险监测及预防性消毒工作专班、中广核等单位相关人员。
  • 1100万!国科大杭州高等研究院单晶X射线衍射仪、扫描电子显微镜及电子束曝光系统采购项目
    一、项目基本情况 1.项目编号:0625-23218C93 项目名称:国科大杭州高等研究院扫描电子显微镜及电子束曝光系统 预算金额(元):6000000 最高限价(元):/ 采购需求: 标项名称: 扫描电子显微镜及电子束曝光系统 数量: 1 预算金额(元): 6000000 简要规格描述或项目基本概况介绍、用途:详见招标文件 备注:允许进口 合同履约期限:标项 1,详见招标文件 本项目(是)接受联合体投标。 2.项目编号:ZJ-2362384 项目名称:国科大杭州高等研究院单晶X射线衍射仪采购 预算金额(元):5000000 最高限价(元):5000000 采购需求: 标项名称: 单晶X射线衍射仪 数量: 不限 预算金额(元): 5000000 简要规格描述或项目基本概况介绍、用途:单晶X射线衍射仪1台。具体以招标文件第三部分采购需求为准,供应商可点击本公告下方“浏览采购文件”查看采购需求。 备注:允许进口 合同履约期限:标项 1,按照招标文件要求 本项目(是)接受联合体投标。二、获取招标文件 时间:/至2023年11月10日 ,每天上午00:00至12:00 ,下午12:00至23:59(北京时间,线上获取法定节假日均可,线下获取文件法定节假日除外) 地点(网址):政采云平台(https://www.zcygov.cn/) 方式:供应商登录政采云平台https://www.zcygov.cn/在线申请获取采购文件(进入“项目采购”应用,在获取采购文件菜单中选择项目,申请获取采购文件) 售价(元):0 三、对本次采购提出询问、质疑、投诉,请按以下方式联系1.采购人信息 名 称:国科大杭州高等研究院 地 址:杭州市西湖区转塘街道象山支弄1号 传 真: 项目联系人(询问):王老师 项目联系方式(询问):0571-86085786 质疑联系人:沈老师 质疑联系方式:0571-86080792 2.采购代理机构信息 名 称:浙江国际招投标有限公司 地 址:杭州市文三路90号东部软件园1号楼3楼317室 传 真:/ 项目联系人(询问):沈建平(18005883302)、倪樟如 项目联系方式(询问):0571-81061840,0571-81061802 质疑联系人:董福利 质疑联系方式:0571-81061818        3.同级政府采购监督管理部门 名 称:杭州市财政局政府采购监管处 /浙江省政府采购行政裁决服务中心(杭州) 地 址:杭州市上城区四季青街道新业路市民之家G03办公室 传 真:/ 联 系 人:朱女士/王女士 监督投诉电话:0571-85252453
  • 中国首台电子束辐照处理医疗废水示范装置正式投入使用
    5月19日,中国首个“电子束辐照处理医疗废水示范装置”项目在湖北省十堰市通过专家评审验收,我国首台用于医疗废水处理的电子束装置正式投入使用。这是国家原子能机构为应对新冠疫情紧急启动,由中国广核集团有限公司与清华大学联合承制的科研项目,是核技术服务人民生命健康,促进经济社会发展的重要体现。  该装置已经在湖北省十堰市西苑医院试运行数月。经过第三方检测,电子束辐照组合工艺处理后的医疗废水指标优于国家传染病医院排放标准,对病毒有明显去除作用,其中甲型肝炎病毒和星状病毒去除率达到100%,粪大肠菌群数小于100MPN/L,能够实现医疗污水中抗生素的完全降解,出水水质达到《医疗机构水污染物排放标准》(GB18466-2005)。目前西苑医院示范装置及系统日污水处理能力最高可达400吨。本项目的完成,标志着我国利用电子束辐照处理医疗废水技术达到国际领先水平。项目核心设备——自屏蔽电子加速器(国家原子能机构供图)  据西苑医院院长刘振伟介绍,传统医疗废水处理方式是通过向污水中注入次氯酸钠等化学消毒剂进行微生物灭杀,易造成化学试剂残留,且无法降解污水中残留的抗生素,一旦被饮用可能导致人体产生耐药性。现在采用的电子束辐照处理技术,是通过电子加速器产生高能电子束,可以与废水中的微生物DNA、RNA分子或细胞组织瞬间发生作用,损伤微生物活性,灭杀废水废物中的致病菌和病毒,灭菌效率高、无需添加额外消毒剂、不产生二次污染,并能降解废水中抗生素等残留物质。十堰是南水北调中线控制性工程丹江口大坝所在地,确保水质对百姓健康意义重大。  中国首台电子束辐照处理医疗废水示范装置由中广核集团与清华大学联合研制,也是首个采用先立项后补助模式并完成验收的核能开发科研项目。本项目创造性地将电子束辐照技术与医疗消毒灭菌相结合,研制团队仅用时5个月就攻克了电子束辐照技术在医疗废水领域应用工艺及核心装备等难题,自主建设了一套用于医疗废水辐照的自屏蔽电子加速器,同时建立了适用于医疗废水中病毒浓缩及检测的方法,为防止新冠肺炎病毒和其他潜在病原体在医疗废水中传播提供了高效安全的解决方案。  中广核集团党委书记、董事长、总经理杨长利向记者介绍,中广核集团在辐照消毒灭菌、医疗废水处理等方面充分发挥核技术优势,助力共同打赢疫情阻击战。目前中广核集团正在持续拓展电子束治污技术的应用领域,将陆续建成抗生素菌渣、危废浓液、医疗固废、制药废水、垃圾渗透等示范项目。  新冠疫情暴发以来,国家原子能机构围绕医用防护服灭菌、医疗废物处理等疫情防控堵点难点,第一时间组织开展核技术应用论证,并紧急部署了一批核技术应用科研项目。中国首个电子束辐照处理医疗废水示范装置作为典型示范项目建成投运,是继今年3月份取得电子束灭活冷链食品外包装新冠病毒研究成果之后,利用核技术助力疫情防控的又一生动实践。  国家原子能机构副主任张建华表示,目前在国际市场上,核技术已广泛应用于工业、农业、医疗健康、环境保护等领域,年产值规模近万亿。国内核技术作为新兴产业尚处于起步阶段,市场前景广阔。下一步,国家原子能机构将统筹全行业技术资源,提升科技创新能力,与财政部、生态环境部、卫健委等有关部委共同推动核技术研究成果转化应用及产业化发展,促进核技术服务经济社会发展,为我国人民生命健康高质量发展作出应有贡献。
  • 提升芯片制造SEM测量水平,NIST联合KLA开发电子束倾斜测量技术
    美国国家标准与技术研究院 (NIST) 和半导体及相关行业检测和测量系统提供商 KLA Corporation 的研究人员提高了扫描电子显微镜 (SEM) 测量的准确性。SEM用于半导体制造中的过程控制应用,有助于确保高产量生产功能性高性能芯片。SEM使用聚焦电子束对小至1纳米的特征进行成像,使其成为表征半导体器件结构的重要仪器。在芯片制造过程中,高分辨率 SEM 用于许多检测和计量应用,包括检测非常小的缺陷、识别和分类光学检测员发现的缺陷、图案特征的关键尺寸测量、覆盖测量等。这些信息有助于芯片工程师表征和微调其制造工艺。当电子束通过SEM时,它会受到仔细控制。电子束与理想路径的轻微偏差或电子束撞击芯片表面的角度的微小错位都会使生成的 SEM 图像失真并歪曲器件的结构。NIST和KLA通过考虑电子束的这些角度错位,提高了SEM的精度。该联合研究项目测量光束倾斜的精度小于一毫弧度,即百分之五度,这需要在角分辨率和测量验证方面取得进步。为了测量光束倾斜,NIST和KLA创建了电子显微镜的原型标准,并以一种新的方式分析了所得的电子显微照片。原型标准由一系列锥形硅柱组成,称为锥形视锥体,形成对光束倾斜高度敏感的图像。倾斜表现为视锥体顶部边缘和底部边缘图像中心之间的偏移。利用他们在模拟电子-物质相互作用方面的专业知识,研究人员使用模拟来展示亚毫弧度精度的潜力,指导他们正在进行的标准工件的设计和制造。已知位置的锥形视锥体阵列有可能测量 SEM 扫描和成像的区域内光束倾斜的任何变化。这些测量可以进一步校准电子显微镜的放大倍率和畸变。此外,新标准还适用于芯片制造中使用的其他显微镜方法,包括原子力和超分辨率光学显微镜。比较不同显微镜方法结果的能力有助于在不同方法之间可靠且可重复地传输信息,并提高测量模型的准确性。锥形视锥体阵列的模型“电子束倾斜会改变器件特征的表观位置,降低SEM测量的准确性,”NIST研究员兼涵盖这项研究的行业论文的第一作者Andrew C. Madison说。“我们的新标准和分析方法可以检测电子束位移,因为它在整个成像场中变化。“有了这些数据,SEM制造商可以实施校准和校正,以提高图像质量和测量精度,”NIST研究员兼首席研究员Samuel M. Stavis说。“作为半导体检测和计量领域的专家,我们不断探索可以扩展当前测量极限的新技术,”KLA公司高级副总裁兼总经理Yalin Xiong说。“与研究机构的合作在发现有助于推进芯片行业过程控制的创新方面发挥着重要作用。我们与NIST的联合研究旨在提高用于表征芯片制造工艺的基本测量的准确性。
  • 我国获创纪录电子束:显著促进激光电子加速器小型化
    9月18日,中科院上海光机所强场激光物理国家重点实验室徐至展院士、李儒新研究员带领研究团队,在超强超短激光驱动尾波场加速产生高亮度高品质电子束研究中取得突破性进展。研究团队提出了级联尾波场加速新方案,突破了激光尾波场加速中能散度难以压缩等重大技术瓶颈,实验获得了高亮度高品质(200-600 MeV、能散0.4-1.2%、流强1-8 kA、发散角~0.2 rms mrad)的高能电子束,电子束六维相空间亮度达到1015-16A/m2/0.1%,远高于目前国际上报道的同类研究结果,在国际上首次接近了最先进的直线加速器上所能获得的电子束亮度。  相关研究成果于9月16日在线发表于《物理评论快报》,上述论文被该国际物理学领域顶尖刊物优选(Editors’ Suggestion)为亮点论文(Highlighted Articles)发表。  发展小型化、低成本激光粒子加速器是科学家们一直梦寐以求的目标。超强超短激光驱动的尾波场电子加速器具有比传统的射频加速器高出三个量级以上的超高加速梯度,为实现小型化的高能粒子加速器等提供了全新技术途径,对未来的同步辐射装置、自由电子激光以及高能物理研究等也将带来深远的影响。近十年来,激光尾波场电子加速研究已经取得许多重要进展,但是在产生高品质电子束方面还面临诸多难题和挑战,例如能散度压缩与稳定性提高等,使其在应用方面的研究受到限制。  近年来上海光机所该研究团队在激光尾波场电子加速方向开展了独具特色的研究,国际上首次成功实现级联双尾波场准单能高能电子加速方案,实验获得了GeV级准单能电子束等重要研究成果。在本项研究中又创新地设计了级联尾波场加速新方案,通过在两段级联的等离子体之间引入一段高密度等离子体,控制电子束的稳相加速及能量啁啾反转和能散度压缩,克服了单级尾波场加速方案中能散度无法独立控制的技术瓶颈,实验获得了高品质(200-600 MeV、能散0.4-1.2%、流强1-8 kA、发散角~0.2 rms mrad)的高能电子束。电子束各项重要性能指标的全面提升,使得电子束最高的六维相空间亮度达到6.5×1015A/m2/0.1%,远高于目前国际上报道的同类研究结果,也是激光电子加速在国际上首次接近了最先进的直线加速器所能获得的电子束亮度。三维粒子模拟也揭示,该级联加速新方案能够有效的抑制电子的二次注入,实现电子束的稳相加速,并通过控制电子束的能量啁啾和压缩能散度获得低能散度、低发散角及高流强的高亮度高品质电子束。  评审专家对该研究结果给予了高度评价:“该亮度是迄今激光尾波场加速器实现的最高纪录” “相比于以前的方案,该方案通过高密度区,恰当地操控了自注入电子束的注入位相...并且电子束的能量啁啾在加速过程中能够得到补偿...是一个新的方案,在产生数百MeV具有千分之一级相对能散并高电荷量的高品质、高亮度电子束方面取得了重大进展...” “利用优化结构的密度分布产生了200-600 MeV的具有低能散度、低发散角的电子束...提出的新方法实现了创纪录的电子束流品质”。  据悉,利用该方案获得的高亮度高能电子束应用于逆康普顿散射伽马射线源产生方面也获得了突破。利用该电子束与超强超短激光对撞产生了超高亮度准单色MeV 量级伽马射线源,其最高峰值亮度达3×1022 photons s-1 mm-2 mrad-2 0.1%BW,与国际上报道的同类伽马射线源亮度相比高出一个量级以上,比传统伽马射线源同能区的峰值亮度提高了10万倍。目前,该研究团队正在开展小型化全光自由电子激光装置的研制工作。利用该级联尾波场加速新方案成功产生的高亮度高能电子束,将会显著促进小型化自由电子激光等重要领域的研究进程。
  • 电子束缺陷检测设备(EBI)与SEM的区别和联系
    一、技术应用背景1.行业痛点在半导体制造过程中,需要对半导体进行微观缺陷的观察。所需要查看的缺陷不仅来自半导体器件的表面,也来自半导体内部。例如存储器件芯片领域,即我们常说的内存,当二维尺度存储单元的尺寸被降低至无法继续缩小,但芯片的存储容量仍然不能满足需求时,三维存储器工艺3D NAND应运而生(图1)。简单来说,该技术机理为将二维存储器堆叠成多层三维结构,相同面积芯片上存储单元被成倍增加,从而达到在不增加存储器面积的前提下增加存储容量的效果。在其它器件领域,此类立体布线的芯片制作技术和工艺也被广泛应用。图1 二维存储器和三维存储器示意图但这类工艺也增加了缺陷检查的难度。在二维器件时代,技术人员只需要对平面上存在的缺陷进行检查,但是当工艺迭代至三维空间,对芯片内部数十层甚至数百层线路进行缺陷检查就变成了一件很有挑战性的工作。X射线具有一定的穿透能力,但是分辨能力无法达到检查要求;电子束的分辨能力强,但是又难以穿透到芯片内部检查线路缺陷。 常规的直接检测手段效果不佳,这时就产生了一些间接检查的手段。由于内部线路缺陷检测主要关注内部线路的通断,而电子束作为一种成像介质,不仅可以用于获取显微影像,也可以向材料内部充入电子,而电子本身就是判断导电线路通断的关键手段。电子束缺陷检查设备EBI(E-Beam Inspection)就是一类专门用于快速分析此类缺陷的专用设备。 EBI设备源自于SEM,其工作原理同样基于电子束与物质相互作用产生的二次电子(主要)/背散射电子效应,这些二次电子/背散射电子的数量和能量分布与材料表面的物理和化学性质密切相关,特别是与表面的缺陷情况有关。通过收集和分析这些二次电子/背散射电子,可以构建出待测元件表面的电压反差影像,从而实现对缺陷的检测。2. EBI设备的详细工作机理介绍由电子束激发的二次电子产额δ(发射的二次电子数与入射电子数之比)与入射电子束能量Ep的关系如图2所示。δ曲线随能量快速递增至最大值,再缓慢递减。这是因为当能量较低时,激发的二次电子数目较少,随着能量的增加,激发的二次电子数目越来越多,但能量越大,入射电子进入到固体内部越深的地方,虽然产生大量的二次电子,但这些二次电子很难从固体内部深处运动到固体表面逸出。对于大多数材料来说,二次电子产额δ都符合这条曲线的规律。图2 二次电子产额δ与入射电子束能量Ep的关系示意图如图3所示,当EⅠ1,此时试样表面呈正电荷分布。发射的二次电子大部分小于10 eV,由于受到试样表面正电荷的吸引作用,二次电子的发射会受到阻碍。当Ep=EⅠ或Ep=EⅡ时,δ=1,此时试样表面呈电中性。当EpEⅡ时,δ图3试样表面电荷累计示意图以上就是电子束检测中的正电位模式(Positive model)和负电位模式(Negative model)。正电位模式常用于检测由于电子累积而导致的电性缺陷,如短路或漏电。在检测过程中,在特定试样下,亮点可能表示待测元件存在短路或漏电问题,因为这些区域会吸引并累积更多的电子,形成较高的电位,而暗点则表示断路。负电位模式则与正电位模式相反。 以6T SRAM中的接触孔缺陷成像分析为例,在正电荷模式下的接触孔影像和接触孔断路缺陷影像如图4所示。正电荷分布模式下接触孔断路缺陷的影像会受到表面正电荷异常增加,而导致的电子束缚能力增强,接收器接收到的电子数量变少,接触孔影像变暗而出现缺陷信号,如图4中右图所示。而在负电荷分布模式下的接触孔断路缺陷影像如图5所示,接触孔断路缺陷表面负电荷无法从基底流走,排斥更多的负电荷,使接触孔影像变亮而出现缺陷信号。图4 正电荷模式下的接触孔影像(左图)和接触孔断路缺陷影像(右图)图5 负电荷模式下的接触孔断路缺陷影像二、EBI设备的技术特点1. EBI设备电子枪技术策略芯片内部线路通断信号的判定通常不需要在较高的加速电压下进行,电子束的着陆能量调节范围也无需过大,通常0.2kV-5kV的着陆能量即可覆盖芯片样品的电荷积累极性,从而达到判断内部线路通断的目的。因此EBI设备通常采取额定电压的电子枪技术,这样一方面节省成本,另一方面降低了电子枪的制作和装调难度。 从应用角度举例,仍以6T SRAM接触孔缺陷检测为例(图6),当着陆能量为300 eV和500 eV时,试样表面呈正电荷分布;当着陆能量为1800 eV时,试样表面呈电中性;当着陆能量为2000 eV和3000 eV时,试样表面呈负电荷分布。对于这种特定试样来说,在电子束着陆能量较低时,产生的二次电子信号量太少,图像的衬度较差,接触孔缺陷较难判断;电子束着陆能量为2000 eV时,接触孔断路处由于负电荷迅速积累而变亮,此时接触孔缺陷清晰可见。图6 入射电子束不同着陆能量下接触孔缺陷检测图2. EBI设备着陆电压控制策略常规SEM通常使用在镜筒内部设置减速电极、减速套管等方式实现对着陆电压的精确控制,统称为镜筒内减速技术。该技术的核心思路是电子束在镜筒中一直维持着较高的能量,保持较低的像差,电子束在到达极靴出口之前恰好降低至目标电压,从而轰击样品。该技术的优势是在保证低电压高分辨能力的同时,不干扰各类仓室内探测器的使用。镜筒内减速技术综合考虑了各类材料的观测工况,适用性强,不存在明显的技术短板,代表了当代电子光学的较高水平,但其装配调试难度相对较高,故多搭载于成熟品牌SEM的高端机型。(镜筒内减速技术的发展和详解本篇文章不过多展开,请继续关注本公司后续技术文章)EBI设备则不同,由于该设备主要用于观测大尺寸平整晶圆,通常不需要考虑样品存在起伏的情况,在这种工况下为了精确控制电子束与晶圆发生碰撞瞬间的入射电压,EBI设备最常采用样品台减速的设计思路,即在样品台表面设置可调节的减速电位,这样晶圆表面也分布有处处均等的减速电势。当电子束下落至晶圆表面,电子的速度便恰好被降低到目标入射电压,以此达到精确控制晶圆表面电荷积累的极性的目的。例如:(图7)电子枪的发射电压为15 kV,电子束以15 keV的能量在镜筒内运动,在样品台上施加一个-14 kV的反向电场,这样电子束到达样品的瞬间着陆能量恰好被减速到1 keV。图7 样品台减速模式示意图样品台减速技术对样品的平整度要求很高,样品不平整会直接导致减速场分布的不均匀,从而直接影响成像质量和检测精准度。但是对于EBI设备,被检测对象单一且均匀,采用样品台减速的设计路线就极为合适。通常EBI厂商会采用固定电压的电子枪配合可调节电压的样品台减速,实现对着陆电压的精确控制,这种技术策略与常规SEM相比,一定程度上降低了设计和装配的难度,也节约了生产成本。3. EBI设备物镜的设计在常规的SEM中,物镜也被称为外镜物镜,如图8所示。它位于电子枪底部,用于汇聚初始电子束。常规SEM需要观测形状各异的样品,同时需要安插各类探测器来获取不同种类的信号以增加成像分析的维度,这种锥形物镜的设计允许样品在较大的范围内自由移动和倾斜旋转,也极大程度上便利了各类探测器的扩展性。图8 常规SEM物镜示意图然而在EBI设备的应用场景中,样品通常为平整的大尺寸完整晶圆,多数情况下仅做水平方向的移动观察,这就意味着样品与物镜发生碰撞的概率被大大减小。因此在设计EBI设备物镜时,就可以采用一些更小的工作距离的设计思路,从而突破使用传统物镜导致的分辨能力的极限。 半浸没物镜是EBI设备经常采用的一种类型,通过特殊设计的磁场分布(如图9所示),将强磁场“泄漏”到物镜空间下方的样品区域,这样相当于获得了无限短的工作距离,物镜对平整晶圆表面线路的分辨能力得到了大幅度提升。这种设计通常还会将电子探测器布置在物镜内部,以增加信号电子的收集效率。不过由于工作距离短,磁场外泄的设计,在此类型物镜基础上插入其它类型的信号探测器并不容易。例如,正光轴外置背散射电子探测器,通常无法在常规的使用工况中发挥作用,为了防止外露磁场的均一稳定,使用镜筒内二次电子检测器时,需要将该背散射检测器移出磁场;仓室内的二次电子探测器(ET)也会受到泄露磁场的影像导致无法收到信号。图9 半镜内物镜示意图三、EBI与SEM的区别和联系电子束检测设备EBI与扫描电子显微镜SEM在半导体检测领域各有侧重,但又相互关联、相互补充。EBI是针对单一应用场景特殊优化过的SEM设备,通常使用额定加速电压,样品台减速控制落点电压和半内透物镜技术策略,主要用于半导体晶圆的缺陷检查,特别是内部线路中的电性缺陷。其利用二次电子/背散射电子成像技术捕捉并分析缺陷,能够做到线上实时检测缺陷状况,无须借助接触式电极即可完成线路通断检查。SEM的适用领域则更广,不仅限于半导体领域,还广泛应用于材料科学、生命科学、能源化工、地址勘探等多种基础、前沿科学技术领域的微观研究。SEM具有更宽泛的电压调节能力,更灵活多变的工作高度,更大的成像景深,更多种探测器的部署方式,更灵活的采集模式,同时兼容各种类型的原位观察、原位加工附件。参考文献及专利[1] Scholtz, J. J., D. Dijkkamp, and R. W. A. Schmitz. "Secondary electron emission properties." Philips journal of research 50.3-4 (1996): 375-389.[2] Patterson, Oliver D., et al. "The merits of high landing energy for E-beam inspection." 2015 26th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC). IEEE, 2015.[3]王恺.28纳米技术平台接触孔成型工艺的缺陷检测与优化研究.2019.上海交通大学,MA thesis.doi:10.27307/d.cnki.gsjtu.2019.004052.[4]常天海,and 郑俊荣."固体金属二次电子发射的Monte-Carlo模拟."物理学报 61.24(2012):149-156.[5]Xuedong Liu, et al."System and method to determine focus parameters during an electronbeam inspection."US7705298.2010-04-27.
  • 我国科学家利用自由电子束实现低维材料的谷电子自旋极化调控
    随着摩尔定律接近极限,传统的晶体管器件已进入发展瓶颈期,探索新一代信息材料已成为当前信息领域的研究热点。低维量子材料具有谷电子自旋的独特性质,有望成为新一代信息材料在未来6G信息技术和产业中发挥重要作用。然而,如何实现低维量子材料的谷电子自旋极化调控是推动该材料实际应用面临的重大研究挑战之一。近期,在国家重点研发计划“纳米科技”重点专项的支持下,我国科学家设计了结构对称的纳米天线与六方氮化硼/二硒化钨/六方氮化硼的金属/介质复合纳米结构,利用超高分辨电子束精准激发金属结构的圆偏振偶极电磁模式,通过近场相互作用在纳米尺度实现了对低维材料谷极化的调控。同时,研究人员发现电子束激发位点的移动(空间分辨率小于5纳米),能够在50纳米内实现谷极化的“开”和“关”,以及100纳米内的谷极化态反转。该研究提出的新型低维量子材料谷极化电子束操控方案,可指导谷电子器件纳米尺度集成,在逻辑运算、光电存储及未来量子信息研究方面具有重要意义。
  • 中科院高能所在电子束品质提升方面获重要进展
    超短超强激光脉冲可以在等离子体中激发梯度超过100 GV/m的加速电场,这比传统金属射频腔可以提供的加速电场高了1000倍以上,有望大幅缩小加速器规模,使桌面型粒子源/辐射源成为现实。目前,激光等离子体加速所采用的主流注入机制(如自注入,离化注入,碰撞光注入等)无法兼顾被加速束团电荷量、能散和发射度等参数,很难让它们同时得到优化。近日,李大章、曾明特聘青年研究员带领的加速器中心新加速原理研究团队提出一种新型注入机制,利用两束同轴激光干涉形成的多壳层空泡结构的演化,俘获背景等离子体中的电子。模拟结果显示,在此种注入机制下,有望利用200 TW量级激光器,产生中心能量750 MeV,能散0.4%,电荷量150 pC,归一化发射度0.2 mm mrad的高品质电子束。此结果已在近期发表在《Matter and Radiation at Extreme》杂志上。当一束波前曲率迅速变化的紧聚焦激光脉冲与一束波前平坦的大光斑激光脉冲同轴同向传播时,两束光会发生干涉,并在等离子体中形成洋葱状的周期性多壳层空泡结构(如图a所示)。随着两束光继续向前传播,空泡将在横向发生膨胀,电子回流时间变长,从而引起空泡结构的纵向拉伸,最终导致尾场相速度降低。此时等离子体背景电子将有机会被尾场俘获并加速。在此种注入机制下,较长的注入长度保证了较大的电荷量,空泡纵向膨胀诱导的注入减弱了束流的相混合,空泡尾部壳层的散焦力降低了电子被俘获时的横向动量。因此,此注入机制可兼具高电荷量,低能散和小发射度的优点(如图b,图c所示)。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制