当前位置: 仪器信息网 > 行业主题 > >

多光子聚合纳米光刻机

仪器信息网多光子聚合纳米光刻机专题为您提供2024年最新多光子聚合纳米光刻机价格报价、厂家品牌的相关信息, 包括多光子聚合纳米光刻机参数、型号等,不管是国产,还是进口品牌的多光子聚合纳米光刻机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合多光子聚合纳米光刻机相关的耗材配件、试剂标物,还有多光子聚合纳米光刻机相关的最新资讯、资料,以及多光子聚合纳米光刻机相关的解决方案。

多光子聚合纳米光刻机相关的资讯

  • 为什么Microlight3D双光子聚合激光直写技术能实现67nm超高分辨率3D打印?
    为什么Microlight3D双光子聚合激光直写技术能实现67nm超高分辨率3D打印?Microlight3D是一家生产用于工业和科学应用的高分辨率微尺度2D和3D打印系统的专业制造商。MicroFAB-3D光刻机是该公司于2019年推出的第一台紧凑台式双光子聚合系统,一经推出便得到客户的广泛好评。 MicroFAB-3D基于双光子聚合激光直写技术,可在各种光敏材料上制造出蕞小尺寸可达67nm的二维和三维特征结构,兼容各种聚合物,包括生物兼容性材料、医用树脂和生物材料,为微流控、微光学、细胞培养、微机器人或人造材料领域开辟了新的前景。双光子聚合激光直写,也称双光子3D打印,基于“双光子吸收效应”, 可以将反应区域限制在焦点附近极小的位置(称之为“体元”),通过纳米级精密移动台,使得该焦点在物质内移动,焦点经过的位置,光敏物质发生变性、固化,因此可以打印任意形状的3D物体。双光子聚合激光直写技术摒弃了传统增材制造(Additive Manufacturing)层层叠加的方法,使得层与层之间的精度大大提高,消除了“台阶效应”,使得我们可以制造低粗糙度、高精度的器件,如各种光学元件、维纳尺度的结构器件等。基于双光子聚合激光直写技术的microFAB-3D完全适用于超高分辨率3D打印,结合合适的光敏材料,“体元”直径可小至67nm,有时甚至可以更小。结合我们专有的软件,microFAB-3D激光可以在材料内部自由移动,创造出一个坚固的结构。 激光甚至可以穿过聚合的部件,因此“体元”可以在单体内部的三维空间中自由移动,可以高精度地创建任何3D形状,例如没有支撑的悬垂物、内部的体块、中空通道结构等等。 由于光敏材料、激光波长和所用的物镜直接影响打印的分辨率,所以我们的532 nm波长确保了低于67nm的超高3D打印分辨率,我们的用户已经实现了在3D结构中小于100nm的横向分辨率!Microlight3D双光子聚合3D纳米光刻机∣主要特征:1、高分辨率3D打印得益于双光子聚合激光直写技术,无论是基础版本还是先进版本,都可以实现至少67nm的刻写分辨率,最高记录67nm 。 2、打印最复杂的结构与传统的3D打印技术不同,双光子聚合激光直写技术摆脱了传统的“一层一层”的光刻方法。可以打印非常复杂的结构而不需要特殊材料支持或后续处理,增强了材料的机械性能。 3、分辨率自动调节我们的软件可以让您在制造过程中可以随时调节打印分辨率。用大“体元”得到更快的打印速度,用小“体元”实现更复杂、更精密的结构。 4、高精度自动定位microFAB-3D先进版本配备了反馈相机和专用软件功能,使您能够在已经有图案的基板甚至光纤的尖端上直接对齐和打印。您可以轻松和精细地调整聚焦点的位置,精度小于1µm。 5、独特的技术、更高的性能创新的纳米3D打印系统依赖于具有独特特点的工业激光器,带来最高的打印分辨率、紧凑性、成本效率和使用灵活性。除此之外,这些工业激光器完全支持长时间运行而无需定期的维护,提供了更好的可靠性与稳定性。 6、从基础版本升级到先进版本MicroFAB-3D可以根据您的需求和预算轻松地升级。您可以使用MicroFAB-3D标准版本探索高分辨率的3D打印,之后升级为MicroFAB-3D高级版本以实现大范围的复制、Voronoi结构光刻等附加功能。Microlight3D双光子聚合3D纳米光刻机∣兼容材料:我们为我们的双光子聚合激光直写3D纳米光刻机提供了10种专利光刻胶,这些树脂的各种性能允许您探索多种应用领域。我们的系统也与各种商业上可用的光刻胶兼容,如Ormocomp, SU8, FormLabs树脂,NOA-line树脂,甚至水凝胶或蛋白质等。这些光刻胶可能是生物兼容的,有的已被认证实现微型医疗设备。如果您想使用定制的、自制的聚合物,我们也可以帮助您调整系统以适应您的工艺。Microlight3D双光子聚合3D纳米光刻机∣应用领域: 微光学和光子学 微流控 2D材料 微型医疗设备 细胞培养与组织工程 微电子学 微机械 光电子 金属材料 传感器 天线 微型机器人Microlight3D双光子聚合3D纳米光刻机∣规格指标:关于生产厂商Microlight3D:Microlight3D是高分辨率微尺度2D和3D打印系统的专业制造商。Microlight3D致力于满足科学家和工业研究人员新的设计加工需求,以及高精度生产任何几何或非几何形状的微型零件。通过结合2D和3D微纳打印技术,Microlight3D为客户提供了制造更大尺寸复杂部件的灵活性。它的目标是为未来的新兴领域提供更快、更复杂的微型制造系统。Microlight3D的设备现用于微光学、微流体、微机器人、超材料、细胞生物学和微电子学等。 Microlight3D在2016年成立于法国格勒诺布尔,在Grenoble Alpes大学(UGA)进行了超过15年的3D微纳打印技术研发。 上海昊量光电作为Microlight3D在中国大陆地区代理商,为您提供专业的选型以及技术服务。对于Microlight3D有兴趣或者任何问题,都欢迎通过电话、电子邮件或者微信与我们联系。关于昊量光电昊量光电 您的光电超市! 上海昊量光电设备有限公司致 力于引进国 外先 进性与创 新性的光电技术与可 靠产品!与来自美国、欧洲、日本等众多知 名光电产品制造商建立了紧 密的合作关系。代理品牌均处于相关领域的发展前 沿,产品包括各类激光器、光电调制器、光学测量设备、精密光学元件等,所涉足的领域涵盖了材料加工、光通讯、生物医疗、科学研究、国 防及前沿的细分市场比如为量 子光学、生物显微、物联传感、精密加工、先进激光制造等。 我们的技术支持团队可以为国内前沿科研与工业领域提 供完 整的设备安装,培训,硬件开发,软件开发,系统集成等优 质服务,助力中国智 造与中国创 造! 为客户提 供适合的产品和提 供完 善的服务是我们始终秉承的理念!
  • 喜报!--昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理!
    喜报!昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理。上海昊量光电设备有限公司自2023年1月1日正式成为Microlight3D公司SMART PRINT UV系列DMD无掩光刻系统的中国区独家代理商,此次获得Microlight3D的授权,体现了Microlight3D对上海昊量光电设备有限公司市场销售的专业度及售后技术支持力量的高度认可。我们将一如既往的为国内广大用户提供更为优质的服务。Microlight3D成立于2016年,在格勒诺布尔阿尔卑斯大学(Université Grenoble Alpes,UGA)进行了长达15年的3D微型打印技术研发。格勒诺布尔阿尔卑斯大学创建于1339年,是一所拥有近七百年历史的国立综合研究型大学,是欧洲最古老的大学之一,教学科研实力处于法国顶尖、世界一流水平。作为具有世界影响力的法国公立大学,格勒诺布尔-阿尔卑斯大学先后诞生过3位诺贝尔奖获得者(克劳斯冯克利青、路易奈尔、热拉尔穆鲁),1位图灵奖获得者(约瑟夫斯发基斯)。Microlight3D公司一直在快速发展,得益于Smart Print UV System在世界各地的实验室和公立私立研究中心的大量销售。Microlight3D公司推出的DMD无掩模光刻系统Smart Print UV系列,是一种基于DMD投影技术的无掩模光刻设备,可兼容多种抗蚀剂和基材。Smart Print UV可以在微米分辨率下产生任何2D形状,而不需要硬掩模。https://www.auniontech.com/details-392.html 点击查看详请Smart Print UV 系统特点:&diams 最小特征尺寸1.5um&diams 可更换目标的可调节写入区域和分辨率&diams 兼容CAD文件及bitmap文件&diams 兼容SU-8、g线、h 线、i 线等绝大部分光刻胶&diams 兼容多种基材(硅、玻璃、柔性薄膜、金属、塑料等)&diams 兼容多种样品尺寸:2''和4''晶圆、4''和5''方形或者定制更大尺寸、显微镜矩形载玻片、14mm和25mm圆形载玻片&diams 反馈相机:聚焦,对齐,准直&diams 手动旋转台:360°可旋转,精度 0.1°&diams 桌面型,占地空间小&diams 曝光速度相比同类型产品更快!&diams 极具性价比!&diams 软件操作和设备维护简单!&diams 交货周期短!&diams 可免费打样! Smart Print UV 功能选件:&diams 1X Objective 磁吸快速更换镜头 10.6 mm x 5.9 mm FOV and 15 µ m resolution &diams 5X Objective 磁吸快速更换镜头2.1 mm x 1.2 mm FOV and 3 µ m resolution &diams 10X Objective 磁吸快速更换镜头1.06 mm x 0.59 mm FOV and 1.5 resolution&diams 4" 晶圆样品支架 &diams 玻璃载玻片样品支架&diams 多用途大型样品支架兼容4" & 5" 方形基板, 2" & 4" 晶圆, 显微镜载玻片, 14 mm & 25 mm 圆形载玻片&diams 定制化样品支架根据客户要求,可适应更大样品尺寸或多个样品;兼容柔性膜材基底(New!) &diams 保修期延期设备保修期2年,软件免费升级2年Smart Print UV 核心规格:型号SP-UV.StandardSP-UV.Advanced光源曝光波长:385nm;校准波长:590nm最小特征尺寸1.5um对准准度(1cm2区域)2um1um拼接精度 2um<1um最大曝光范围70×70mm2110×110mm2基板尺寸4英寸(wafer)5英寸(方形)写入速度77mm2/min220mm2/min 系统尺寸 长×宽×高:52(cm)×52(cm)×69(cm)软件包:电脑Windows 10Pro, 24inch屏幕SFTprint软件机器控制、步进重复、自动剂量测试、拼接、对齐SFT转换器将标准CAD格式(gdsii、dxf、cif、oas)转换为机器格式;包含CAD软件镜头选项:物镜1X2.5X5X10X写入区域(mm)10.56×5.944.2×2.42.1×1.21.06×0.59最小线宽(um)15631.5Smart Print UV 应用领域:Smart Print UV是为需要制作表面微图案、微结构的任何应用领域的完美设计,如MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等。 Microlight3D公司还有另外一款明星产品-双光子聚合3D纳米光刻机。2007年,第一代双光子聚合3D纳米光刻机microFAB-3D问世,在中国大陆、台湾和欧洲地区有大量的销售。2018年,公司获得了法国研究与创新部颁发的公共研究领域最具创新力年轻公司奖。2019年,推出了第一代紧凑型桌面式双光子聚合3D纳米光刻机。2019年,Microlight3D完全收购了无掩模光刻系统制造商SmartForceTechnologies。未来,上海昊量光电设备有限公司将获得Microlight3D更多的资源支持,昊量光电也将继续秉承互利共赢的发展理念,充分发挥自身强大的专业技术沟通和服务优势,力争为国内MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等应用领域的研究和推广贡献一份力量,满足并不断超越客户的期望,致力于为国内前沿的科研与工业领域提供优质的产品与服务,助力中国智造与中国创造。如果您对DMD无掩模光刻机感兴趣,请访问上海昊量光电的网页,欢迎继续关注上海昊量光电的各大媒体平台,我们将不定期推出各种产品介绍与技术新闻。更多详情请联系昊量光电/欢迎直接联系昊量光电关于昊量光电:上海昊量光电设备有限公司是光电产品专业代理商,产品包括各类激光器、光电调制器、光学测量设备、光学元件等,涉及应用涵盖了材料加工、光通讯、生物医疗、科学研究、国防、生物显微、物联传感、激光制造等;可为客户提供完整的设备安装,培训,硬件开发,软件开发,系统集成等服务。您可以通过我们昊量光电的网站了解更多的产品信息,或直接来电。
  • 清华团队新成果在《自然》发表:有望解决光刻机自主研发难题
    2月25日,清华大学工程物理系教授唐传祥研究组与来自亥姆霍兹柏林材料与能源研究中心(HZB)以及德国联邦物理技术研究院(PTB)的合作团队在《自然》(Nature)上发表了题为《稳态微聚束原理的实验演示》(Experimental demonstration of the mechanism of steady-state microbunching)的研究论文,报告了一种新型粒子加速器光源“稳态微聚束”(Steady-state microbunching,SSMB)的首个原理验证实验。基于SSMB原理,能获得高功率、高重频、窄带宽的相干辐射,波长可覆盖从太赫兹到极紫外(EUV)波段,有望为光子科学研究提供广阔的新机遇。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。“我国EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的‘卡脖子’难题。”唐传祥说。SSMB原理验证实验示意图。 图源《自然》SSMB原理验证实验结果。 图源《自然》光刻机是芯片制造中必不可少的精密设备SSMB概念由斯坦福大学教授、清华杰出访问教授赵午与其博士生Daniel Ratner于2010年提出。赵午持续推动SSMB的研究与国际合作。2017年,唐传祥与赵午发起该项实验,唐传祥研究组主导完成了实验的理论分析和物理设计,并开发测试实验的激光系统,与合作单位进行实验,并完成了实验数据分析与文章撰写。唐传祥教授和HZB的Jörg Feikes博士为论文通讯作者,清华工物系2015级博士生邓秀杰为论文第一作者。“SSMB光源的潜在应用之一是作为未来EUV光刻机的光源,这是国际社会高度关注清华大学SSMB研究的重要原因。”唐传祥介绍。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。光刻机的曝光分辨率与波长直接相关,半个多世纪以来,光刻机光源的波长不断缩小,芯片工业界公认的新一代主流光刻技术是采用波长为13.5纳米光源的EUV(极紫外光源)光刻。EUV光刻机工作相当于用波长只有头发直径一万分之一的极紫外光,在晶圆上“雕刻”电路,最后将让指甲盖大小的芯片包含上百亿个晶体管,这种设备工艺展现了人类科技发展的顶级水平。荷兰ASML公司是目前世界上唯一的EUV光刻机供应商,每台EUV光刻机售价超过1亿美元。新成果有望解决自主研发光刻机的“卡脖子”难题唐传祥介绍,大功率的EUV光源是EUV光刻机的核心基础。目前ASML公司采用的是高能脉冲激光轰击液态锡靶,形成等离子体然后产生波长13.5纳米的EUV光源,功率约250瓦。而随着芯片工艺节点的不断缩小,预计对EUV光源功率的要求将不断提升,达到千瓦量级。“简而言之,光刻机需要的EUV光,要求是波长短,功率大。”唐传祥说,“大功率EUV光源的突破对于EUV光刻进一步的应用和发展至关重要。基于SSMB的EUV光源有望实现大的平均功率,并具备向更短波长扩展的潜力,为大功率EUV光源的突破提供全新的解决思路。”唐传祥指出,EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的“卡脖子”难题。这需要SSMB EUV光源的持续科技攻关,也需要上下游产业链的配合,才能获得真正成功。《自然》评阅人对该研究高度评价,认为 “展示了一种新的方法论”,“必将引起粒子加速器和同步辐射领域的兴趣”。《自然》相关评论文章写到“该实验展示了如何结合现有两类主要加速器光源——同步辐射光源及自由电子激光——的特性。SSMB光源未来有望应用于EUV光刻和角分辨光电子能谱学等领域。”目前,清华正积极支持和推动SSMB EUV光源在国家层面的立项工作。清华SSMB研究组已向国家发改委提交“稳态微聚束极紫外光源研究装置”的项目建议书,申报“十四五”国家重大科技基础设施。
  • 青海大学500万元购买1套双光子三维光刻机
    7月30日,青海大学公开招标购买1套双光子三维光刻机,预算500万元。  项目编号:青海鑫融公招(货物)2021-27  项目名称:青海大学大型科研仪器购置补贴专项(双光子三维光刻机)  预算金额(元):5000000  采购需求:  数量: 1台  预算金额(元): 5000000  简要规格描述或项目基本概况介绍、用途:/  备注:  合同履约期限:详见招标文件  本项目(否)接受联合体投标。  开标时间:2021年08月23日 09:002021-27公招(货物)法务已审.doc
  • 国产光刻机及关键核心零部件研发进展
    p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 光刻机被业界誉为集成电路产业皇冠上的明珠,研发的技术门槛和资金门槛非常高。也正是因此,能生产高端光刻机的厂商非常少,到最先进的EUV光刻机就只剩下ASML。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 据ASML之前公布资料显示,ASML 是全世界唯一一家使用极紫外EUV光源的光刻机制造商。EUV光源波长只有13.5 nm(接近X射线水平),远大于DUV光刻机的193nm,目前用于台积电最先进的5 nm生产线。相比之下,国内光刻机厂商则显得非常寒酸,处于技术领先的上海微电子装备有限公司已量产的最先进的SSA600/20型号前道光刻机采用了ArF准分子光源,即深紫外DUV光刻机,光刻分辨率只有90 nm。有消息称上海微电子即将于2021年,也就是几个月之后会交付首台国产的分辨率达28 nm的光刻机,目前国内晶圆厂所需的高端光刻机完全依赖进口。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 随着贸易战的愈演愈烈,美国对华为的打压也蔓延到了半导体领域,国内先进光刻机采购遭遇重大阻力。同时由于《瓦森纳协定》的限制,即使突破了技术,能够制造先进光刻机,其核心零部件的进口也可能会受到限制。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 任正非最近也表示,“我们设计的先进芯片,国内的基础工业还造不出来,我们不可能又做产品,又去制造芯片”。面对先进光刻机受制于人的局面国产光刻机的研发牵动着国人的心,启动国产光刻机的研发已刻不容缓。于此同时,国内也不断传来关于光刻机研发的各种消息& #8230 & #8230 /span /p p style=" text-align:center text-indent:29px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-size: 15px line-height: 150% font-family: 宋体 " 网传华为自研光刻机 /span /strong strong /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 今年以来,网上各路自媒体传出华为启动自研光刻机的消息,不过这些消息大都是捕风捉影,真实性存疑。其来源主要基于以下几个消息: /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 1、& nbsp 华为申请光刻机专利。据了解,该专利名称是《一种光刻设备和光刻系统》,申请于2016年。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2、华为大批挖角上海微电子等企业的员工。不过后续相关消息称,华为只是少量挖掘,人员数量并不足以支撑研发。但这也让上海微电子(SMEE)未离职的前道部门工资奖金翻了一倍。根据相关消息,为激励员工,SMEE薪资大调整,前道各部门计划从今年9月开始实行12(基本工资)+2(个人绩效)+6-12(前道产品绩效)薪资结构了。相比于过去年薪12+2能拿到20多万,如果按时完成任务的话,现在加上奖金能拿到40多万。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 3、华为招聘光刻工艺工程师。但从职位描述看,招聘的是研究2.5d tsv方面封装技术的工艺工程师,该技术会使用到光刻设备。华为芯片的封装测试是外包给封测厂进行的,该岗位可能是进行试验室封装技术的研发和经验积累,协助推动在封测厂的量产。目前我国缺少和亟待突破的是先进制程的前道光刻机。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 业内人士表示,华为虽然技术研发能力,公司氛围都很强大,但光刻机技术门槛高,单打独斗很难成功。目前关于华为自研光刻机的消息虽然大都是捕风捉影,但是华为的研发实力也不容小觑,毕竟华为有强烈的需求,而余承东也表示华为将入局半导体设备。 /span /p p style=" text-align:center text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong 02 /strong strong span style=" font-family: 宋体 " 专项核心零部件研发进展 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 9月16日,中科院院长白春礼在接受媒体采访时明确表示,中科院已成立光刻机攻关小组,争取在短时间内研制出国产高端光刻机。除此之外,中科院也针对“卡脖子”问题,列入了技术清单,并且均已成立研发小组。实际上中科院以及相关科研机构很早就介入了光刻机研发领域。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键元器件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。上海微电子只能另辟蹊径,转入技术含量较低的后道封装光刻机和平板显示光刻机领域,占领了国内封装光刻机80%的市场。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 面对国外封锁,国内科研机构开始发力,针对光刻机的核心零部件进行攻关。在“十二五”期间,著名的“02专项”即《极大规模集成电路制造技术及成套工艺》要求重点进行45-22纳米关键制造装备攻关,部分光刻机核心零部件也已实现了验收。国家02专项光刻机项目有多个部门参与,分别负责不同的子项。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " 双工件台系统完成验收 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 双工件台,即在一台光刻机内有两个承载晶圆的工件台。两个工件台相互独立,但同时运行,一个工件台上的晶圆做曝光时,另一个工件台对晶圆做测量等曝光前的准备工作。当曝光完成之后,两个工件台交换位置和职能,如此循环往复实现光刻机的高产能。该项目由清华大学和北京华卓精科负责 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2019年4月28日,清华成功研发光刻机双工件台掩模台系统α样机,并召开光刻机双工件台系统样机研发”项目验收会。研究团队历经5年完成了全部研究内容,突破了平面电机、微动台、超精密测量、超精密运动控制、系统动力学分析、先进工程材料制备及应用等若干关键技术,攻克了光刻机工件台系统设计和集成技术,通过多轮样机的迭代研发,最终研制出2套光刻机双工件台掩模台系统α样机,达到了预定的全部技术指标,关键技术指标已达到国际同类光刻机双工件台的技术水平。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 该项目是02专项核心任务光刻机项目群中第一个通过正式验收的项目。项目完成使得我国成为世界少数可以研制光刻机双工件台这一超精密机械与测控技术领域尖端系统的国家之一。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " “极紫外光刻关键技术研究”通过验收 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 极紫外光刻是一种以13.5nm的EUV光为工作波长的投影光刻技术,目前最先进的芯片就是使用ASML的EUV光刻机制造。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2016年11月15日,由长春光机所牵头承担的国家科技重大专项02专项——“极紫外光刻关键技术研究”项目顺利完成验收前现场测试。在长春光机所、成都光电所、上海光机所、中科院微电子所、北京理工大学、哈尔滨工业大学、华中科技大学等参研单位的共同努力下,历经八年的戮力攻坚,圆满地完成了预定的研究内容与攻关任务,突破了现阶段制约我国极紫外光刻发展的核心光学技术,初步建立了适应于极紫外光刻曝光光学系统研制的加工、检测、镀膜和系统集成平台,为我国光刻技术的可持续发展奠定了坚实的基础。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2017年6月21日,中国科学院长春光学精密机械与物理研究所(现北京国望光学)牵头研发的“极紫外光刻关键技术”通过验收。突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " “超分辨光刻装备研制”通过验收 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 2018年11月29日,国家重大科研装备研制项目“超分辨光刻装备研制”29日通过验收。该光刻机由中国科学院光电技术研究所研制,光刻分辨力达到22纳米,结合双重曝光技术后,未来还可用于制造10纳米级别的芯片。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 该光刻机在365纳米光源波长下,单次曝光最高线宽分辨力达到22纳米。项目在原理上突破分辨力衍射极限,建立了一条高分辨、大面积的纳米光刻装备研发新路线,绕过国外相关知识产权壁垒。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 利用研制成功的超分辨光刻装备已制备出一系列纳米功能器件,包括大口径薄膜镜、超导纳米线单光子探测器、切伦科夫辐射器件、生化传感芯片、超表面成像器件等,验证了该装备纳米功能器件加工能力,已达到实用化水平。不过需要注意的是,该设备为超材料/超表面、第三代光学器件、广义芯片等变革性战略领域的跨越式发展提供了制造工具。简单来说,该设备主要应用于器件进行周期性的光刻,但无法应用于集成电路光刻。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " 其他项目紧锣密鼓进行中 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 除了以上已经完成的02专项子项目,其他的项目也在紧锣密鼓进行中: /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 浙江大学流体动力与机电系统国家重点实验室和浙江启尔机电负责沉浸式光刻机的浸液系统,目前水平排名世界第三,前两名分别为阿斯麦、尼康; /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 中科院光电研究院负责准分子激光光源系统,由北京科益虹源负责产业转化,研究成果国产40W 4kHz ArF光源已经交付,是继美国Cymer公司(已于2013年被阿斯麦收购)、日本Gigaphoton 公司之后的全球第三; /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 物镜曝光系统方面,长春光机所应用光学国家重点实验室和国防科技大学光学精密工程创新团队负责;激光光源照明系统方面,中国科学院上海光学精密机械研究所负责。 /span /p p style=" text-align:center line-height:150%" span style=" font-family: arial, helvetica, sans-serif " strong span style=" font-family: 宋体 " 其他团队光刻机研究进展 /span /strong /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 据悉,武汉光电院甘棕松团队采用二束激光在自研的光刻胶上突破了光束衍射极限的限制,采用远场光学的办法,光刻出最小9纳米线宽的线段,实现了从超分辨成像到超衍射极限光刻制造的重大创新,研发出了双光束高分辨率激光直写光刻机。目前甘棕松团队正在做双光束超分辨率投影式光刻机大型工程机的研发。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 需要注意的是一般投影式光刻机才可以进行有效率的芯片制造,而甘棕松团队的光刻机是直写式光刻机,无法实现大规模量产。一般来说,直写式光刻设备主要用于掩模版制作,如电子束刻蚀设备,其优点是分辨率高,缺点是速度慢,无法用于大规模量产。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " 据业内媒体消息披露,上海微电子将于2021年-2022年交付第一台28nm工艺的国产沉浸式光刻机。这意味着我国的先进光刻机已经实现了技术突破,但可以实现更高制程的EUV光刻机仍然任重而道远。 /span /p p style=" text-indent:28px line-height:150%" span style=" font-family: arial, helvetica, sans-serif " “我们从古以来,就有埋头苦干的人,有拼命硬干的人,有为民请命的人,有舍身求法的人,& #8230 & #8230 虽是等于为帝王将相作家谱的所谓& quot 正史& quot ,也往往掩不住他们的光耀,这就是中国的脊梁& #8230 & #8230 ”伴随着国家队入场和科研人员的“负重前行”,相信不久的将来必能不断传出好消息。 /span /p p br/ /p
  • 泽攸科技完全自主研制的电子束光刻机取得阶段性成果
    国产电子束光刻机实现自主可控,是实现我国集成电路产业链自主可控的重要一环。近日,泽攸科技联合松山湖材料实验室开展的全自主电子束光刻机整机的开发与产业化项目取得重大进展,成功研制出电子束光刻系统,实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。电子束光刻是利用聚焦电子束对某些高分子聚合物(电子束光刻胶)进行曝光并通过显影获得图形的过程。而产生聚焦电子束并让聚焦电子束按照设定的图形扫描的仪器就叫做电子束光刻机。它是推动我们当前新材料、前沿物理研究、半导体、微电子、光子、量子研究领域的重要手段之一。此前,全球电子束光刻机市场高度集中,主要由美日企业垄断,我国尚未掌握该领域核心技术,装备长期依赖进口。为实现电子束光刻机的自主可控,泽攸科技多年来持续积累电子光学、微纳技术、高压源及电子源技术、真空系统、自动控制、数字图像处理等多学科交叉核心技术,构建了完整的技术体系,并推出了台式扫描电镜等多款热销电子束产品。2023年3月,泽攸科技联合松山湖材料实验室共同投资2400万元,成立联合工程中心,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,泽攸科技已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形,达到先进水平。该成果标志着泽攸科技在电子束光刻机关键技术和整机方面的自主创新能力获得重大提升。下一步,泽攸科技将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。相信在公司技术团队的不懈努力下,泽攸科技自主研发的电子束光刻机整机必将加速实现量产和商业化应用。这不仅将大幅降低国内高端芯片制造的装备成本,还将打破国外企业的技术壁垒,使我国拥有自主可控的电子束光刻机技术,推动国产替代,切实保障国家信息安全。以下是电子束光刻机部分应用案例:
  • 激光外差干涉技术在光刻机中的应用
    激光外差干涉技术在光刻机中的应用 张志平*,杨晓峰 复旦大学工程与应用技术研究院上海市超精密运动控制与检测工程研究中心,上海 201203摘要 超精密位移测量系统是光刻机不可或缺的关键分系统之一,而基于激光外差干涉技术的超精密位移测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程和数米每秒的测量速度等优点,是目前唯一能满足光刻机要求的位移测量系统。目前应用于光刻机的超精密位移测量系统主要有双频激光干涉仪和平面光栅测量系统两种,二者均以激光外差干涉技术为基础。本文将分别对这两种测量系统的原理、优缺点以及在光刻机中的典型应用进行阐述。关键词 光刻机;外差干涉;双频激光干涉仪;平面光栅1 引言集成电路产业是国家经济发展的战略性、基础性产业之一,而光刻机则被誉为集成电路产业皇冠上的明珠[1]。作为光刻机三大指标之一的套刻精度,是指芯片当中上下相邻两层电路图形的位置偏差。套刻精度必须小于特征图形的1/3,比如14 nm节点光刻机的套刻精度要求小于5.7 nm。影响套刻精度的重要因素是工件台的定位精度,而工件台定位精度确定的前提则是超精密位移测量反馈,因此超精密位移测量系统是光刻机不可或缺的关键分系统之一[2-4]。随着集成电路特征尺寸的不断减小,对位置测量精度的需求也不断提高;同时,为了满足光刻机产率不断提升的需要,掩模台扫描速度也在不断提高,甚至达到 3 m/s 以上;此外,为了满足大尺寸平板显示领域的需求,光刻机工件台的尺寸和行程越 来越大,最大已达到 1. 8 m×1. 5 m;最后,为了获得工件台和掩模台良好的同步性能,光刻机还要求位置测量系统具备多轴同步测量的功能,采样同步不确定性优于纳秒级别[5-8]。 综上,光刻机要求位置测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程、数米每秒测量速度、闭环反馈以及多轴同步等特性。目前,在精密测量领域能同时满足上述测量要求的,只有外差干涉测量技术。 本文分别介绍外差干涉测量技术原理及其两 种具体结构——双频激光干涉仪和平面光栅测量系统,以及外差干涉技术在光刻机中的典型应用。 2 外差干涉原理 2. 1 拍频现象 外差干涉又称为双频干涉或者交流干涉,是利用“拍频”现象,在单频干涉的基础上发展而来的一 种干涉测量技术。 假设两列波的方程为 x1 = A cos ω1 t , (1) x2 = A cos ω2 t 。 (2) 叠加后可表示为(3)拍频定义为单位时间内合振动振幅强弱变化 的次数,即 v =| (ω2 - ω1)/2π |=| v 2 - v 1 | 。 (4) 波 x1、x2 以及合成后的波 x 如图 1 所示,其中包 络线的频率即为拍频,也称为外差频率。如果其中一个正弦波的相位发生变化,拍频信号的相位会发生完全相同的变化,即外差拍频信号将完整保留原始信号的相位信息。 图 1. 拍频示意图Fig. 1. Beat frequency diagram对于激光而言,因为频率很高(通常为 1014 Hz 量级),目前的光电探测器无法响应,但可以探测到两束频率相近的激光产生的拍频(几兆到几十兆赫兹)。因此拍频被应用到激光领域,发展成激光外差干涉技术。2. 2 外差干涉技术 由拍频原理可知 ,所谓外差就是将要接收的信号调制在一个已知频率信号上,在接收端再将该调制信号进行解调。由于高频率的激光信号相位变化难以精确测量,但利用外差干涉技术可以用低频拍频信号把高频信号的 相位变化解调出来,将大大降低后续精确鉴相的难度。因此,外差技术最显著的特点就是信号以交流的方式进行传输和处理。 与单频干涉技术相比,外差干涉技术的突出优点是:1)由于被测对象的相位信息是加载在稳定的差频(通常几兆到几十兆赫兹)上,因此光电探测时避过了低频噪声区,提高了光电信号的信噪比。例如在外界干扰下,测量光束光强衰减 50% 时,单频干涉仪很难正常工作,而外差干涉仪在光强衰减 90% 时仍能正常工作 ,因此更适用于工业现场 。 2)外差干涉可以根据差频信号的增减直接判别运动方向,而单频干涉技术则需要复杂的鉴相系统来 判别运动方向。单频干涉技术与外差干涉技术对比如表 1 所示。表 1. 单频干涉技术与外差干涉技术对比Table 1. Comparison between homodyne interferometry and heterodyne interferometry3双频激光干涉仪 3. 1 双频激光干涉仪原理 双频激光干涉仪是在单频激光干涉仪的基础上结合外差干涉技术发展起来的,其原理如图 2 所 示。双频激光器发出两列偏振态正交的具有不同频率的线偏振光,经过偏振分光器后光束被分离。 图 2. 双频激光干涉仪原理图Fig. 2. Schematic diagram of dual frequency laserinterferometer设两束激光的波动方程为 E1 = E R1 cos ( 2πf1 t ) E2 = E R2 cos ( 2πf2 t ) , (5) 式中:ER1和 ER2为振幅;f1和 f2为频率。 偏振态平行于纸面的频率为 f1 的光束透过干涉仪后,被目标镜反射回干涉仪。当被测目标镜移动时,产生多普勒效应,返回光束的频率变为 f1 ± Δf, Δf 为多普勒偏移量,它包含被测目标镜的位移信息。经过干涉镜后,与频率为 f2 的参考光束会合,会合后光束发生拍频,其光强 IM函数为 (6) 式(6)包含一个直流量和一个交流量,经光电探测器转换为电信号,再进行放大整形后,去除直流量,将交 流量转换为一组频率为 f1 ± Δf- f2的脉冲信号。从双频激光器中输出频率为 f1 - f2 的脉冲信 号,作为后续电路处理的基准信号。测试板卡采用减法器通过对两列信号的相减,得到由于被测目标 镜的位移引起的多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为 (7) 式中:λ 为激光的波长;N 为干涉的条纹数。因此, 只要测得条纹数,就可以计算出被测物体的位移。 3. 2 系统误差分析 双频激光干涉仪的系统误差大致由三部分组成:仪器误差、几何误差以及环境误差,如表 2 所示。 三种误差中,仪器误差可控制在 2 nm 以内;几何误 差可以通过测校进行动态补偿,残差可控制在几纳米以内;环境误差的影响最大,通常可达几十纳米到几微米量级,与测量区域的环境参数(温度、压 力、湿度等)有关,与量程几乎成正比,因此大量程测量时,需要对环境参数进行控制。 表 2. 双频激光干涉仪系统误差分解Table 2. System error of dual frequency laser interferometer4 平面光栅测量系统 双频激光干涉仪在大量程测量时,精度容易受 温度、压力、湿度等环境因素影响,研究者们同样基于外差干涉原理研发了平面光栅测量系统,可克服双频激光干涉仪的这一缺点。 4. 1 基于外差干涉的光栅测量原理 众所周知 ,常规的光栅测量是基于叠栅条纹的,具有信号对比度差、精度不高的缺点。基于外差干涉的光栅测量原理如图 3 所示,双频激光器发出频率 f1 和 f2 的线偏振光,垂直入射到被测光栅表面,分别进行+1 级和−1 级衍射,衍射光经过角锥反射镜后再次入射至被测光栅表面进行二次衍射, 然后会合并沿垂直于光栅表面的方向返回。由于被测光栅与光栅干涉仪发生了相对运动,因此,返回的激光频率变成了 f1 ± Δf和 f2 ∓ Δf,其中 Δf为多 普勒频移量,它包含被测目标镜的位移信息。 图 3. 基于外差干涉的光栅测量原理Fig. 3. Principle of grating measurement based on heterodyne interference会合后的光束 f1 ± Δf 和 f2 ∓ Δf 发生拍频,其频率为 ( f1 ± Δf ) - ( f2 ∓ Δf ) = ( f1 - f2 ) ± 2Δf。(8) 式(8)的信号与双频激光器中输出频率为 f1 - f2 的 参考信号相减,得到多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为(9) 式中 :p 为光栅的栅距 ;N 为干涉的条纹数 。 因此,只要测得条纹数 ,就可以计算出被测物体的位移。 上述原理推导是基于一维光栅刻线的,只能测量一维运动。为了获得二维测量,只需将光栅的刻线由一维变成二维(即平面)即可。 4. 2 两种测量系统优缺点对比 由此可知,基于外差干涉的光栅测量原理与双频激光干涉仪几乎完全相同,主要的差别是被测对象由反射镜换成了衍射光栅。两种测量系统的优缺点如表 3 所示。表 3. 双频激光干涉仪与光栅测量系统对比Table 3. Dual frequency laser interferometer versus gratingmeasurement system5外差干涉测量在光刻机中的应用 发展至今,面向 28 nm 及以下技术节点的步进扫描投影式光刻机已成为集成电路制造的主流光刻机。作为光刻机的核心子系统之一的超精密工件台和掩模台,直接影响着光刻机的关键尺寸、套刻精度、产率等指标。而工件台和掩模台要求具有高速、高加速度、大行程、超精密、六自由度(x、y 大 行程平动,z 微小平动,θx、θy、θz微小转动)等运动特点,而实现这些运动特点的前提是超精密位移测量反馈。因此,基于外差干涉技术的超精密位移测量子系统已经成为光刻机不可或缺的组成部分。 4. 光刻机中的多轴双频激光干涉仪[10]Fig. 4. Multi-axis dual frequency laser interferometer in lithography machine[10]图 4 为典型的基于多轴双频激光干涉仪的光刻机工件台系统测量方案[10],在掩模台和硅片台的侧面布置多个多轴激光干涉仪,对应地在掩模台和硅 片台上安装长反射镜;通过多个激光干涉仪的读数解算出掩模台和硅片台的六自由度位移。 然而,随着测量精度、测量行程、测量速度等运动指标的不断提高,双频激光干涉仪由于测量精度易受环境影响、长反射镜增加运动台质量致使动态性能差等问题难以满足日益提升的测量需求。因 此,同样基于外差干涉技术的平面光栅测量系统成为了另一种选择[8]。 光刻机工件台平面光栅测量技术首先由世界光刻机制造巨头 ASML 公司取得突破。该公司于 2008 年 推 出 的 Twinscan NXT:1950i 浸 没 式 光 刻机,采用了平面光栅测量技术对 2 个工件台的六自 由度位置进行精密测量。如图 5 所示,该方案在主基板的下方布置 8 块大面积高精度平面光 栅(约 400 mm×400 mm),在两个工件台上分别布置 4 个 平面光栅读数头(光栅干涉仪),当工件台相对于平 面光栅运动时,平面光栅读数头即可测出工件台的 运动位移[2,5,9]。图 5. ASML 光刻机的平面光栅测量方案[2,5,9]Fig. 5. Plane grating measurement scheme of ASML lithography machine[2,5,9]相比多轴双频激光干涉仪测量方案,平面光栅测量方案具有以下优点:1)测量光路短(通常小于 20 mm),因此测量重复精度和稳定性对环境变化不 敏感;2)工件台上无需长反射镜,因此质量更轻、动态性能更好。 然而,平面光栅测量方案也有其缺点:1)大面积高精度光栅制造难度太大;2)由式(9)可知,位移 测量结果以栅距 p 为基准,然而受栅距均匀性限制, 测量绝对精度不高。为了获得较好的精度和线性度,往往需要利用双频激光干涉仪进行标定。 面临极端测量需求的挑战 ,Nikon 公 司 在 NSR620D 光刻机中采用了平面光栅和双频激光干涉仪混合测量的技术方案[9],如图 6 所示。该方案 将平面光栅安装在工件台上表面,而将光栅读数头安装在主基板下表面,同时增加了双频激光干涉仪,结合了平面光栅测量系统和双频激光干涉仪的 优点。在读头与读头切换时采用双频激光干涉仪进行在线校准。 图 6. Nikon光刻机混合测量方案[9]Fig. 6. Hybrid measurement scheme of Nikon lithography machine [9]6激光外差干涉系统的发展趋势 无论是双频激光干涉仪还是平面光栅测量系统,要想获得纳米级测量精度,既需要提高测量系统本身的精度,更需要从使用的角度努力,即“三分 靠做,七分靠用”。 就激光外差干涉测量系统本身而言,误差源主要来自于光学非线性误差。在外差干涉测量系统 中,由于光源及光路传输过程各光学器件性能不理想或装调有偏差,会带来两个频率的光混叠现象, 即原本作为测量信号频率 f1(或 f2)的光中混杂了频 率 f2(或 f1)的光,或原本作为参考信号频率 f2(或 f1) 的光中混杂了频率 f1(或 f2)的光。在信号处理中该混叠的频率信号会产生周期性的光学非线性误差。尽管目前主流的双频激光干涉仪厂家已经将非线性误差控制在 2 nm 以内[10- 12],但应用于 28 nm 以下光刻机时仍然需要进一步控制该误差。国内外众多学者从非线性误差来源、检测和补偿等角度出发,进行了大量研究并取得了丰硕成果[13- 17]。这些成果有望对非线性误差的动态补偿提供理论支持。 从应用角度,研究热点主要集中在应用拓展、 安装误差及其测校算法、环境参数控制及其补偿方法研究等方面。在应用拓展方面,激光外差干涉技术除了应用于测长之外,还在小角度测量、直线度、平面度、反馈测量等方面取得了应用[18- 20]。在安装误差和环境误差补偿算法方面,主要聚焦于多自由度解耦算法、大气扰动补偿等研究方向[4,21- 27]。 7 总结 阐述了光刻机对位移测量系统大量程、亚纳米 分辨率、纳米精度、高测速及多轴同步的苛刻要求。 概述了激光外差干涉技术原理,指出目前为止,激光外差干涉技术是唯一能满足光刻机上述要求的超精密位移测量技术。并综述了两种基于激光外差干涉技术的测量系统:双频激光干涉仪和平面光栅测量系统。总结了这两种位移测量系统在光刻机中的典型应用,以及激光外差干涉技术的当前研究热点和发展趋势。全文详见:激光外差干涉技术在光刻机中的应用.pdf
  • 八种光刻技术盘点 国产化进展喜人
    光刻是将掩模版上的图形转移到涂有光致抗蚀剂(或称光刻胶)的硅片上,通过一系列生产步骤将硅片表面薄膜的特定部分除去的一种图形转移技术。光刻技术是借用照相技术、平板印刷技术的基础上发展起来的半导体关键工艺技术。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级范围。光刻技术成为一种精密的微细加工技术。随着技术的发展,光刻技术不断推陈出新,出现了很多针对某几种用途的专门技术,在此特为大家盘点介绍一些光刻技术。掩模光刻掩膜光刻由光源发出的光束,经掩膜版在感光材料上成像,具体可分为接近、接触式光刻以及投影光刻。相较于接触式光刻和接近式光刻技术,投影式光刻技术更加先进,通过投影的原理能够在使用相同尺寸掩膜版的情况下获得更小比例的图像,从而实现更精细的成像。目前,投影式光刻在最小线宽、对位精度、产能等核心指标方面能够满足各种不同制程泛半导体产品大规模制造的需要,成为当前 IC 前道制造、IC 后道封装以及 FPD 制造等泛半导体领域的主流光刻技术。根据光源不同,掩模光刻机还可以分为紫外光源(UV)、深紫外光源(DUV)、极紫外光源(EUV)。为了提供波长更短的光源,极紫外光源(EUV)为业界采用。目前主要采用的办法是将二氧化碳激光照射在锡等靶材上,激发出13.5 nm的光子,作为光刻机光源。目前仅有由荷兰飞利浦公司发展而来的ASML(阿斯麦)一家可提供可供量产用的EUV光刻机。这是目前最先进的光刻技术。X射线光刻X射线因为波长很短,所以几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于MEMS技术当中。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。X射线光源最大的优势在于他可以做出高深宽比的图形,但是最大的问题也是由于他的穿透性太强导致了无法用透镜进行放大和缩小,因此图形尺寸和掩模版的尺寸相同,所以X射线光刻过分依赖电子束光刻掩模版的精度,故目前没有大量普及。离子束光刻离子束投影曝光系统的结构和工作原理与光学投影曝光的结构与原理类似,所不同的是曝光粒子是离子、光学系统采用离子光学系统,而掩模版则由可通过和吸收离子的材料制备。离子束曝光掩模版通常采用Si材料制成投射/散射式的二相掩模版技术。离子束投射光学系统一般也采用4:1缩小的投射方式,透镜实际上是一个可对离子进行聚焦作用的多电极静电系统。常见的离子束光刻技术包括聚焦离子束光刻(FIB)和离子投影光刻(IPL)。FIB系统采用液态金属离子源,加热同时伴随着一定的拔出电压获得金属离子束,通过质量选择器来选择离子,通过电子透镜精细聚焦的金属离子,在偏转线圈的作用下,形成扫描光栅。离子束可通过溅射对样品进行表面成像。聚焦式离子束技术是利用静电透镜将离子束聚焦成非常小尺寸(与电子束直写光刻技术类似。不需要掩膜板,应用高能粒子朿直写。离子投影曝光( lPL)是将平行的离子束穿过掩膜,将缩小的招膜图形投射到基底上,使用PMMA光刻胶。当具有一定能量的离子撞击靶材表面时两者之间会发生一系列的交互作用,其中包括膨胀、刻蚀、沉积、铣削、注入、背散射和形核反应等。主要用于制作修复掩膜版和对晶直接光刻。但离子束光刻存在离子源制备,掩膜板畸变,衬底工艺损伤,效率低等问题,很难在生产中作为曝光工具应用,目前主要用作VISI中的掩模修补工具和特殊器件的修整。电子束曝光电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。纳米压印技术纳米压印技术是一种新型的微纳加工技术。该技术通过机械转移的手段,达到了超高的分辨率,有望在未来取代传统光刻技术,成为微电子、材料领域的重要加工手段。纳米压印技术,是通过光刻胶辅助,将模板上的微纳结构转移到待加工材料上的技术。报道的加工精度已经达到2纳米,超过了传统光刻技术达到的分辨率。这项技术最初由美国普林斯顿大学的Stephen. Y. Chou(周郁)教授在20世纪90年代中期发明。由于纳米压印技术的加工过程不使用可见光或紫外光加工图案,而是使用机械手段进行图案转移,这种方法能达到很高的分辨率。报道的最高分辨率可达2纳米。此外,模板可以反复使用,无疑大大降低了加工成本,也有效缩短了加工时间。因此,纳米压印技术具有超高分辨率、易量产、低成本、一致性高的技术优点,被认为是一种有望代替现有光刻技术的加工手段。热探针扫描技术热扫描探针光刻(t-SPL)是近年来新开发出的一种光刻技术,其与当今的电子束光刻(EBL)相比具有更多的优势:首先,热光刻显改善了二维晶体管的质量,抵消了肖特基势垒,阻碍了金属与二维衬底交界处的电子流动;与电子束光刻(EBL)不同,热光刻技术使芯片设计人员能够轻松地对二维半导体进行成像,之后在需要的地方对电极进行图案化; 此外,热扫描探针光刻(t-SPL)制造系统有望在初期节省成本;最后,通过使用平行热探针,能够轻松地将该热制造方法推广到批量的工业生产当中。成本更低,有望成为当今电子束光刻的替代品。激光直写技术激光直写技术是一种近年来应用广泛的超精密加工技术。激光直写是利用强度可变的激光束对基片表面的抗蚀材料实施变剂量曝光,显影后在抗蚀层表面形成所要求的浮雕轮廓。激光直写系统的基本工作原理是由计算机控制高精度激光束扫描,在光刻胶上直接曝光写出所设计的任意图形,从而把设计图形直接转移到掩模上。激光直写技术主要用于制作平面计算全图、掩模、微透镜、微透镜阵列、Fresnel微透镜、Fresnel波带板、连续位相浮雕的闪耀光学元件等,制作工艺己经逐渐成熟。激光直写技术的发展趋势是从直角坐标写入系统到极坐标写入系统,直至多功能写入系统;从基片小尺寸到大尺寸,从平面写入到球面、柱面以及曲面;从利用光刻胶材料到聚合物以及其他特殊工艺材料;写入元件的特征尺寸从几百微米到亚微米;元件制作时间从几天到几小时甚至几分钟;从制作二值图样到写入连续浮雕轮廓 从光学元件到微电子、集成电路、集成光学器件等;从发达的国家到发展中国家,并己经应用到空间光学、光通讯、光学显示等领域,为DOE和微电子、微光学、微机械器件的制作提供了一种新的制作设备。多光子聚合光刻技术双光子聚合是物质在发生双光子吸收后所引发的光聚合过程。双光子吸收是指物质的一个分子同时吸收两个光子的过程,只能在强激光作用下发生,是一种强激光下光与物质相互作用的现象,属于三阶非线性效应的一种。双光子吸收的发生主要在脉冲激光所产生的超强激光的焦点处,光路上其他地方的激光强度不足以产生双光子吸收,而由于所用光波长较长,能量较低,相应的单光子过程不能发生,因此,双光子过程具有良好的空间选择性。一般利用双光子聚合制造3D打印机,可以实现突破传统光学衍射极限的增材制造。不过,华中科技大学的甘棕松教授发明的超分辨纳米光刻技术利用光刻胶双光子吸收特性,采用双束光进行光刻,一束为飞秒脉冲激光,经过扩束整形进入到物镜,聚焦成一个很小的光斑,光刻胶通过双光子过程吸收该飞秒光的能量,发生光物理化学反应引发光刻胶发生固化;另外一束为连续激光,同样经过扩束整形后,进入到同一个物镜里,聚焦形成一个中心为零的空心状光斑,与飞秒激光光斑的中心空间重合,光刻胶吸收该连续光的能量,发生光物理化学反应,阻止光刻胶发生固化。两束光同时作用,最终只有连续光空心光斑中心部位的地方被固化。甘棕松教授目前已经把空心光斑中心部位最小做到9nm,至此突破光学衍射极限的超分辨光刻技术在常规光刻胶上得以完美实现。光刻机国产化现状虽然各种光刻技术不断涌现,但相比于传统的紫外掩模光刻技术而言,大都在工业量产中都无法完全克服生产效率低、对准精度低、分辨率低等缺点。目前,应用较多的光刻技术主要为EUV、DUV等掩模光刻技术,用于工业量产,也是最受关注的光刻技术。公开资料显示,中国最强的光刻机生产商是上海微电子装备公司(SMEE),主要研发DUV光刻机,目前其最先进的SSA600/20光刻机分辨率可达90nm。上海微电子是国内唯一从事研发、生产以及销售高端光刻机的公司,也是全球第四家生产IC前道光刻机的公司。在2020年,金融局走访调研上海微电子时,上海微电子预计将于2022年交付首台28nm工艺国产沉浸式光刻机,国产光刻机将从此前的90nm制程一举突破到28nm制程。上海微电子在中端先进封装光刻机和LED光刻机领域技术领先,先进封装光刻机国内市场占有率高达80%、全球市场占有率达40%,LED光刻机市场占有率第一。实际上,02专项要求实现半导体设备28nm制程的国产化,目前国望光学的物镜、科益虹源的光源、华卓精科的双工件台、启尔机电的浸液系统等零部件都已实现突破,只差上海微电子光刻机集成。位于北京亦庄的国产验证28nm产线也预计明年投产,届时上海微电子的28nm光刻机有望导入产线,实现28nm光刻设备的国产化替代。此外,国产EUV量产型光刻机目前仍在开发中,中国科学院长春光学精密机械与物理研究所在2016年验收了原理技术样机,合工大已开发出DPP-EUV光源,但功率较低。电子束光刻目前国内主要由电工所在开发,但相比于国际厂商还存在差距。而纳米压印技术国内的主要厂商为青岛天仁微纳,现已成为纳米压印领域市场占有额超过95%的头部企业,建立了自主知识产权的核心技术与专利壁垒,设备销售遍布国内知名大学科研院所和企业。激光直写光刻设备主要国产厂商包括江苏速影、合肥芯碁等,与国际巨头Heidelberg、矽万等相比,技术差距正逐渐缩小。光刻设备的国产化不仅推动了半导体产业的进步,同时也推动了国产仪器市场的发展。笔者从其他渠道了解到,上海微电子也采购了某国产双频激光干涉仪。由于最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键零部件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。随着国内仪器设备的技术进步,上海微电子通过采购国产零部件集成先进的光刻机,促进了国产仪器市场发展。目前,主流光刻设备厂商包括,ASML、Nikon、Canon、上海微电子、合肥芯碁、Heidelberg、江苏速影、矽万、SUSS、苏大维格、Veeco、光机所、EVG、ABM、苏州源卓、合肥芯硕、长春长光中天、中国电科、大族激光、中山新诺等。更多仪器请查看以下专场【光刻机】【电子束刻蚀】。
  • 理化所飞秒激光双光子聚合水凝胶3D微结构分辨率研究获进展
    水凝胶具有类似于细胞外基质的理化性质,具备良好力学性能、自愈合能力和响应性,可用于构建组织再生的微纳米仿生结构,并提供微米尺度的表面形态来调节细胞行为,如细胞粘附、迁移或生存增殖分化因子的释放。因此,水凝胶被广泛应用于组织工程和药物递送等领域。然而,制备高精度的三维(3D)任意生物相容性水凝胶支架颇具挑战性。为了适应未来生物医学领域的发展,亟需开发具有精细3D几何结构的新型水凝胶材料。   近日,中国科学院理化技术研究所仿生智能界面科学中心有机纳米光子学实验室研究员郑美玲团队在《ACS应用材料与界面》(ACS Applied Materials & Interfaces)上,发表了题为22 nm Resolution Achieved by Femtosecond Laser Two-Photon Polymerization of a Hyaluronic Acid Vinyl Ester Hydrogel的研究成果。该研究提出了真3D高精细任意可设计拓扑结构调控单细胞的新策略。   科研人员采用飞秒激光双光子聚合技术,以乙烯基酯透明质酸(HAVE)水凝胶作为单体材料,P2CK作为高效水溶性双光子引发剂,二硫苏糖醇(DTT)作为硫醇-烯点击化学交联剂和PBS缓冲溶液配制了HAVE前驱体,通过配方优化和激光焦点调控在水凝胶结构分辨率上取得了重要进展即最高分辨率达22 nm,制备了与细胞尺寸相当的水凝胶3D微支架并验证了材料与结构的生物相容性,表明HAVE水凝胶细胞支架可进一步用于研究细胞迁移和操作等行为。   该团队开展了配方优化实验,通过改变单体和引发剂的质量比及控制硫醇-烯官能团比例筛选出溶解性好、易于加工和聚合性能良好的HAVE前驱体配方。   在几十纳米尺度的分辨率中,体素相对于基底的位置是不可忽略的影响因素。为了进一步提高结构分辨率,该团队根据激光焦点体素理论调控焦点与基底相对位置从而获得更高分辨率的线结构。如图2所示,大功率激光焦点光斑明亮,且体素体积较大,不易得到最佳焦点位置,而小功率激光焦点光斑较弱,体素体积更小,更易获得最佳焦点位置,基于此方法获得了更高分辨率的线结构。   通过上述配方优化和焦点调控,科研人员开展了HAVE前驱体C配方的分辨率研究。当扫描速度为6 μm/s时,线结构的质量得到了显著提高(图3a),结构完整致密。研究利用HAVE前驱体C配方实现了22 nm的分辨率(图3c)。   进一步,研究对HAVE前驱体配方进行了3D水凝胶微结构的双光子聚合加工,利用原子力显微镜测量了3D细胞支架的杨氏模量,平均值94 kPa接近体内组织的力学性能。研究对配方中水溶性引发剂P2CK和3D细胞支架进行了生物相容性测试,验证了该材料和结构具有良好的生物相容性。   综上,该团队全面研究了HAVE水凝胶光刻胶的双光子聚合性能,通过优化光刻胶前驱体的配方和调节焦点位置获得了22 nm的特征线宽,并验证了材料和3D水凝胶细胞支架的生物相容性。本研究提出的方案,有望创建复杂的生物相容性3D水凝胶结构,并探索其在个性化微环境调控、组织工程、生物医学和仿生科学领域的潜在应用。   上述成果是该团队前期一系列仿生水凝胶工作的拓展。研究工作得到国家重点研发计划“纳米科技”重点专项、国家自然科学面上基金、中国科学院国际伙伴计划等的支持。图1.3D水凝胶的制备示意图表1 A-E系列HAVE前驱体配方优化及性能比较图2.体素形态和相对基底位置对大功率变化(a)和小功率变化(b)聚合线结构分辨率的影图3.HAVE前驱体C配方双光子聚合性能研究图4.A和C配方制备的3D细胞支架结构的SEM对比图以及水凝胶支架上共培养L929细胞的共聚焦荧光显微镜图像
  • EUV光刻机“忙疯了”
    据市场消息,目前,ASML High NA EUV光刻机仅有两台,如此限量版的EUV关键设备必然无法满足市场对先进制程芯片的需求,为此ASML布局步伐又迈一步。当地时间6月3日,全球最大的半导体设备制造商阿斯麦(ASML)宣布,携手比利时微电子研究中心(IMEC),在荷兰费尔德霍芬(Veldhoven)开设联合High-NA EUV光刻实验室(High NA EUV Lithography Lab),并由双方共同运营。推动摩尔定律关键因素:High NA EUV技术据业界信息,High NA EUV技术是EUV技术的进一步发展。NA代表数值孔径,表示光学系统收集和聚焦光线的能力。数值越高,聚光能力越好。通过升级将掩膜上的电路图形反射到硅晶圆上的光学系统,High NA EUV光刻技术能够大幅提高分辨率,从而有助于晶体管的进一步微缩。ASML的High NA EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元。据悉,ASML最先进的高数值孔径EUV设备的数值孔径将从0.33提高到0.55,这意味着设备可以绘制更精细的电路图案。ASML官网消息指出,经过多年的构建和整合,该实验室已准备好为领先的逻辑和存储芯片制造商、以及先进材料和设备供应商,提供第一台原型高数值孔径EUV扫描仪(TWINSCAN EXE:5000)以及周围的处理和计量工具。据介绍,0.55NA EUV扫描仪和基础设施的准备工作始于2018年,在此之前,ASML和ZEISS(蔡司)已经能够开发High NA EUV扫描仪专用解决方案,涉及光源、光学元件、镜头变形、拼接、降低景深、边缘位置误差和叠加精度。与此同时,IMEC与其扩展的供应商网络紧密合作,准备了图案化生态系统,包括开发先进的光刻胶和底层材料、光掩模、计量和检测技术、(变形)成像策略、光学邻近校正 (OPC) 以及集成图案化和蚀刻技术。准备工作最近取得了首次曝光,首次展示了使用0.55NA EUV原型扫描仪在Veldhoven的金属氧化物光刻胶 (MOR) 上印刷的10纳米密集线条(20纳米间距)。此次联合实验室的开放,被视为High-NA EUV技术大批量生产准备过程中的重要里程碑。业界预计,随着该技术的不断成熟和普及,将在2025-2026年期间迎来大规模的量产应用。IMEC总裁兼首席执行官Luc Van den hove表示,High-NA EUV是光学光刻领域的下一个里程碑,有望在一次曝光中对间距为20纳米的金属线/空间进行图案化,并支持下一代DRAM芯片。与现有的多图案化0.33 NA EUV方案相比,这将提高产量并缩短周期时间,甚至减少二氧化碳排放量。因此,它将成为推动摩尔定律进入埃时代的关键推动因素。先进制程竞争开战:光刻机“挺忙的”在芯片制造中,先进制程技术是当前行业研发的重点,掌握研发最新制程技术的大厂主要是台积电、三星、英特尔,从三大厂的动态来看,先进制程研发之争已开启。而光刻设备是芯片制造过程中的核心步骤,目前ASML是全球唯一掌握High-NA EUV技术的设备厂商,随着先进制程芯片竞争日益升温,各大厂瞄准EUV先进设备开始抢购。从订单情况来看,ASML财报显示,今年第一季度公司新增订单金额为36亿欧元,其中6.56亿欧元为EUV光刻机订单。这一局,英特尔率先抢下了ASML大部分的High NA EUV光刻机。据此前外媒消息,ASML截至2025上半年的高数值孔径EUV(High-NA EUV)设备订单由英特尔全部包揽。并在前不久英特尔宣布完成了ASML High-NA EUV光刻机设备组装。这是ASML生产的首台High NA EUV光刻机,价值高达3.5亿欧元,英特尔计划用该款设备生产1.8nm以下的先进制程芯片。据了解,ASML还对外交付了第二台High NA EUV光刻机,但未透露买家信息。值得一提的是,ASML的订单已超过了十几台,但EUV设备的最大客户台积电却表示“不抢ASML新设备”。台积电业务开发资深副总经理张晓强此前表示,台积电A16制程不一定要用阿斯麦(ASML)High-NA EUV。现有EUV能力支持芯片生产到2026年底,届时A16制程将根据目前蓝图推出。三星电子方面,该公司联合ASML共同投资1万亿韩元在韩国建立新研发中心。该中心位于京畿道华城市ASML新园区前,将配备能够实施亚2nm工艺的先进高数值孔径EUV光刻设备,并将成为ASML和三星电子工程师使用EUV设备进行先进半导体研发合作的场所。据此前动态,三星电子已在ASML韩国华城新园区附近新获得了一块场地,将于明年开始建设,计划在竣工时引进[高数值孔径]设备,预计最晚会在2027年完成。三星电子还与ASML EUV光刻机组件供应商蔡司联手,在EUV领域深化合作。公开资料显示,蔡司集团是全球唯一的极紫外(EUV)光系统供应商ASML Holding NV的光学系统唯一供应商。据透露,每台EUV光刻机中包含了三万多个由蔡司提供的组件。三星电子此前指出,其目标是引领3nm以下的微制造工艺技术,今年计划采用EUV光刻技术量产第六代10纳米DRAM芯片。未来,三星电子积极寻求到2025年实现2nm芯片商业化,到2027年实现1.4nm芯片商业化。
  • 国产光刻机如何突围?
    近日,有消息称,上海微电子正致力于研发28纳米浸没式光刻机,预计在2023年年底将国产第一台SSA/800-10W光刻机设备交付市场。此前,国家知识产权局公布了一项华为新的专利“反射镜、光刻装置及其控制方法”,在极紫外线光刻机核心技术上取得突破性进展。  半导体产业是全球主要国家的战略高地。美国、荷兰、日本先后对光刻机等半导体制造设备出口进行限制,我国将于8月1日起对镓、锗相关物项实施出口管制。想要不被“卡脖子”,在关键环节实现自主可控是必经之路。光刻机“卡脖子”问题具体体现在哪儿?我国企业已经取得了哪些进展?国产量子芯片领域能否把握发展先机?记者近日就此调研了部分上市公司,采访了学术界、产业界多位专家。  业内人士普遍表示,我国企业加快核心领域自主研发,光刻机产业链上下游正不断涌现出新进展、新成果,国产化加速向前。“中国芯”正在崛起。  光刻机领域突破不断  光刻机又名掩模对准曝光机,被称为“半导体工业皇冠上的明珠”,是半导体产业链中最精密的设备,是制造芯片的核心装备。光刻机技术有多难?业界有形象的比喻,用光在晶圆上画图,相当于两架客机齐头并进,一架机翼上挂一把刀,另一架飞机上粘一颗米粒,用刀在米粒上刻字。  目前,全球能生产光刻机的厂商寥寥无几,荷兰阿斯麦、日本尼康和佳能占据了主要市场。其中,阿斯麦技术最为领先,它是唯一能生产极紫外线光刻机的厂家,这种光刻机可实现7纳米甚至5纳米工艺。阿斯麦第一大股东是美国资本国际集团,第二大股东是美国的黑岩集团。  中国在光刻机技术方面曾站在世界“第一方阵”,1965年研制出了65型接触式光刻机,1985年研制出的分步光刻机样机,当时与国外先进水平差距不超过7年,但此后,我国开始从国外购买光刻机。自20世纪90年代起,阿斯麦等国外企业却迅速崛起。  眼下,我国光刻机产业处处被“卡脖子”。接受本报记者调研的企业称:“卡脖子”的难点主要在两处:一是光源,光刻机要求体系小、功率高而稳定的光源;二是镜片,为了让光线能够精确地照射到硅片上刻画出微小的图案,需要一系列高精度和高光滑度的镜片来聚焦和校准光线。  上海微电子副董事长贺荣明在受访时表示:“2002年,我国专家出国考察时,对方工程师说,哪怕把所有图纸都给你们,你们也未必能做出光刻机。”回国后,贺荣明带领团队夜以继日攻关,研发团队经过5年终于在曝光这个关键环节取得重大突破,之后不断闯关。目前,上海微电子已可量产90纳米分辨率的SSX600系列光刻机,28纳米分辨率的光刻机也有望取得突破。  国产化率日渐提升  贺荣明带领的上海微电子,仅仅是我国企业在光刻机走向自主可控进程中付出努力的一个缩影。近年来,多家A股上市公司已经进入到光刻机全球产业链各环节之中,包括光刻机光源系统厂商福晶科技,物镜系统厂商奥普光电,涂胶显影厂商芯源微、富创精密,光掩膜版厂商清溢光电、华润微,缺陷检测厂商精测电子,光刻胶厂商南大光电、容大感光,光刻气体厂商雅克科技、华特气体等。  其中,富创精密是阿斯麦的供应商之一,全球为数不多的能够量产应用于7纳米工艺制程半导体设备的精密零部件制造商。对于国产化问题,富创精密表示:“公司将在现有产品的基础上逐步实现半导体设备精密零部件的国产化。”  华特气体则表示:“公司产品已批量供应14纳米、7纳米等产线,部分氟碳类产品、氢化物已进入到5纳米的先进制程工艺中使用。”  中微公司将产业的快速发展归功于资本市场的助力。中微公司董秘刘晓宇表示:“资本市场不仅解决了公司资金需求,并且带来广泛的社会资源和产业链上下游资源,形成产业链协同效应。”  随着产业链上下游企业的共同努力,光刻机的国产化率日渐提升。  浙商证券研报表示,当前我国在清洗、热处理、去胶设备的国产化率分别达到34%、40%、90%;在涂胶显影、刻蚀、真空镀膜的国产化率达到10%至30%;在原子层沉积、光刻、量测检测、离子注入的国产化率暂时低于5%。  正如工银投行研究中心信息技术行业首席分析师许可源所言,全球半导体产业碎片化趋势显现,对于我国半导体产业,国产替代成为未来发展的长期逻辑。随着国内半导体制造和封测产能的持续扩张,将为国内设备厂商提供更多验证与导入的机遇,带动国内产业在技术和市场上的突破。  有望借量子技术换道超车  除了上述各领域的创新外,被誉为新一轮科技革命的战略制高点——量子科学领域,中国位列全球“第一方阵”。量子计算机对复杂数据的计算能力大大超过传统计算机的极限,这为“中国芯”换道超车提供了技术支持。  目前,华为的超导量子芯片专利技术,大幅提升量子芯片的良率,已经超过了英特尔;本源量子已经研发出中国首个自主研发的超导量子计算机本源悟源。  中天汇富投资控股集团董事长、本源量子创业合伙人黄罡向记者表示:“公司从诞生之日开始,就把实现自主可控作为根本目标。我国有庞大的应用场景,有生机勃发的产业生态,为量子技术发展提供沃土。”  不管是科技攻关还是换道超车,都离不开国家政策的护航。国家“十四五”规划和2035年远景目标纲要提出,要加强原创性引领性科技攻关。  “近年来,在许多科技创新的关键领域,我国取得的成果可圈可点,一些企业脱颖而出进入国际市场参与全球化竞争,这与我国高度重视并出台产业政策进行资源支持密不可分。”中央财经大学数字经济融合创新发展中心主任陈端向记者表示。  中国半导体行业协会副理事长于燮康也对记者表示:“尽管我国半导体产业面临技术等各种挑战,但高速增长的国内市场规模也为产业升级优化提供了重要机遇。”
  • 我国纳米光刻技术研究取得突破
    日前,中科院光电技术研究所微光刻技术与微光学实验室首次提出基于微结构边际的LSP超分辨光刻技术。该技术利用微纳结构边际作为掩模图形,对表面等离子体进行有效激发,其采用普通I-line、G-line光源获得了特征尺寸小于30纳米的超分辨光刻图形。   据相关负责人介绍,传统的微光刻工艺采用尽可能短的曝光波长,期望获得百纳米甚至几十纳米级别的光刻分辨率。然而,随着曝光波长的缩短,整个光刻装备的成本也急剧上升。以目前主流的193光刻机为例,其售价为几千万美元。如此高昂的成本严重限制了短波长光源光刻技术的应用。   近年来,表面等离子体光学的提出为微光刻技术的发展提供了新的选择。利用表面等离子体波的短波长,通过合理的设计掩模图形和工艺参数,超分辨的纳米光刻技术有望形成。   在此背景下,该所研究员提出了基于微结构边际的LSP超分辨光刻技术。理论研究表明,该技术可获得特征尺寸小于1/10曝光波长的纳米结构,并利用365纳米光源从实验上获得了超越衍射极限的光刻分辨率。这将为我国正在迅猛发展的信息产业技术及纳米科技提供坚实的加工制备基础。
  • Nanoscribe双光子聚合技术助力微流控芯片内3D打印技术突破
    研究背景微流控技术的多学科领域应用主要表现在对微量体积的液体进行精准控制和操作,广泛应用在化学,生物学和物理学的芯片实验室(lab-on-a-chip)应用中。而为了能更好得对这微小尺度空间进行分析研究,则需要通过集成更小部件来操控微流体通道。通常,微流体通道由主动和被动部件结合在一起(包括过滤器,阀门和混合器等)。然而,微流控芯片的传统制造技术被限制于二维层面,限制了对三维空间的利用,例如多相液滴分离,交换混合器和湿相纤维纺丝等应用。技术突破如今,来自德国亚琛工业大学以及莱布尼兹材料研究所(DWI Leibniz-Institute for Interactive Materials and the RWTH Aachen University)的科学家们使用Nansocribe公司的无掩模光刻系统,采用一种全新的方式解决了制造并集成3D微纳结构到2D微流体通道的问题 - 在2D微型通道内制作嵌入式3D微流控器件,该器件的核心部件是模拟蜘蛛喷丝头的复杂喷嘴设计。科学家们运用Nanoscribe的双光子聚合技术,实现微流道母版制造和密闭通道系统内部的芯片内直接打印,开创了一种全新的微流控微纳加工方法:先运用Nanoscribe的双光子聚合(2PP)技术打印微型通道的聚合物母版,并结合软光刻技术做后续复制工作。随后,在密闭的微流道中通过芯片内3D技术直接制作复杂结构的喷丝头。Nanoscribe双光子聚合微纳加工技术赋予微流控新应用Nanoscribe公司双光子聚合(2PP)技术结合增材制造可以实现超越二维微流体平面的任意三维结构几何形状的制作。用该技术制造的三维微纳结构,结合Nanoscribe无掩模光刻系统的高精度定位设备,可成功将复杂结构元件精准集成到开放或密闭的微型通道中。利用2PP技术可以制作几乎任何形状的3D结构,例如细胞支架,过滤器或混合器等,并打印到预制的微流道中,从而扩大了微流控应用的更多可能性。2PP技术同样适用于2D或2.5D微流道系统聚合物母版制作。该应用进一步小型化了复杂且集成的2D通道系统,同时拓展了制造具有几微米甚至亚微米级横向特征尺寸的花丝结构的可能性。Nanoscribe的2PP技术可用于构造包含不同规模结构的聚合物母版,并通过例如软光刻技术进行复制。更多关于双光子聚合技术的微纳加工信息欢迎登录Nanoscribe网站并注册参加4月13日的首届3D微纳加工主题网络研讨会。届时KIT纳米技术研究院(INT)院士Martin Wegener博士将与我们共同介绍2PP技术原理,并展示用Nanoscribe无掩模光刻系统所制作的令人印象深刻的应用产品。德国Nanoscribe 超高精度双光子微纳3D无掩模光刻系统: Photonic Professional GT2 双光子微纳3D无掩模光刻系统 Quantum X 双光子灰度光刻微纳打印设备更多有关3D双光子无掩模光刻技术和产品咨询欢迎联系Nanoscribe中国分公司 - 纳糯三维科技(上海)有限公司
  • 重磅!俄罗斯计划研发无掩模X射线光刻机
    4月2日消息,据外媒报道,俄罗斯莫斯科电子技术学院(MIET)已经接下了贸工部的6.7亿卢布资金(约合5100万元人民币),准备研发制造芯片的光刻机,并号称该款光刻机工艺可以达到EUV级别,但技术原理完全不同,他们研发的是基于同步加速器和/或等离子体源的无掩模X射线光刻机。文章内容显示:“MIET已经在无掩模EUV光刻领域取得了进展,包括与国内其他科研机构和科学家团体联合开展的研究。该项目还将涉及Zelenograd公司ESTO和Zelenograd同步加速器,现在是国家研究中心库尔恰托夫研究所的技术储存综合体(TNK)Zelenograd。“基于在该国运行和发射的同步加速器,特别是在TNKZelenograd的同步加速器以及国内等离子源的基础上,创造技术和设备,将使处理具有设计标准的半导体晶片成为可能28nm、16nm及以下,”招标文件包含这项研究工作(研发)的要求。“无掩模X射线纳米光刻技术和正在开发的设备在国内和世界上都没有类似物。”据了解,X射线因为波长很短,几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于mems技术当中。目前国内有两个地方可以做X射线光刻,一个是合肥同步辐射,一个是北京同步辐射。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。目前最先进的光学光刻是EUV,极紫外光刻。我们也称之为软X射线光刻,既有光学光刻的特征,也有X射线光刻的特征。极紫外波长很短,没有透镜能够放大缩小,所以只能采用凹面镜进行反射式缩放。而掩模版也采用反射式,曝光方式也是扫描,整个系统在真空下运行。公开资料显示,承接了光刻机研发计划的“MIET”是俄罗斯高科技领域领先的技术大学。通过将现代实验室、对教育过程的全新认识以及教育、科学和工业进行独特整合,MIET成为微电子和纳米电子、电信和信息技术领域培训专家的领导者。该大学是俄罗斯大学发明活动排名中最强大的三所大学之一,是莫斯科国立大学排名中排名前五的技术大学之一,也是著名的英国出版物《泰晤士报》排名前20位的俄罗斯大学之一高等教育。实际上俄罗斯早已在芯片制造业上遭到了美国制裁。俄国内唯二半导体企业Ангстрем公司原计划通过AMD购买必要工艺设备,但这笔交易由于2016年Ангстрем公司上了美国商务部制裁名单而中止,其在泽列诺格勒的工厂因为制程工艺落后无法获得足够订单长期处于亏损状态债务超过1000亿卢布,2019年其最大债权方VEB.RF(俄罗斯国家开发集团)对其进行破产重组。当然俄另一家芯片制造商Микрон因祸得福获得了利用Ангстрем生产车间改造28纳米制程新生产线的机会,为其节省了10亿美元。俄国内半导体消费市场不到全球份额2%,如果没有政府推动,针对这样小市场的产业需求去研发制造需要投入几百亿美元成本的DUV\EUV光刻机是经济上极不合理的(全世界产业市场也就那么大)。另一方面俄军用、航天市场对芯片需求的批量不大,但种类多,需要经济上合理的小批量、多品种的产能。适用于大批量生产的投影式光刻机不能满足这种产业需求。俄国内有两条使用8英寸晶圆的生产线,分别属于АО «Микрон»和ООО «НМ-ТЕХ» 。6英寸晶圆的四条生产线,分属АО «Микрон», АО «Ангстрем», АО «ВЗПП-Микрон»和НИИСИ РАН,前面三个都属于上世纪90年代至本世纪初技术水平,值得注意的是最后那个用的是新的无掩膜直写。2014年荷兰Mapper公司与俄RUSNANO公司合资在莫斯科组建一家生产无掩膜光刻机核心组件微机电光学元件的工厂。该工厂生产的电子光学元件可以将一束电子束分成13000束电子束,并对每束电子束进行控制,从而极大提高了无掩膜电子束光刻机的生产效率,使这类光刻机用于设计阶段样品制造外,更加适应小批量生产的需求。Mapper公司多束无掩模光刻机,可以用于32纳米制成,其核心部件即由俄罗斯制造。更早时候,RUSNANO投资了瓦迪姆.拉霍夫斯基教授团队研制的纳米级定位器,使用该项技术可用于加工10纳米精度的非球面光学元件(用于紫外和X波段)。而这位瓦迪姆.拉霍夫斯基,是位大牛。1992年他与苏联时期在全联盟计量科学研究所工作的同事创立一家小公司接一些为苏联时期电子产品生产零件的零散订单。在生产过程中,他们被掩膜缺陷反复折腾,随着制成工艺缩小,就会出现新的问题,之前提出的解决方法都不再有效。而所需要的投资也越来越高,单是掩膜成本就从0.5微米时代的400美元增加到如今的70万美元以上。这时候拉霍夫斯基想到如果用全息生成图像的方法就可以避免掩膜缺陷对产品质量造成影响,据估计,即便缺陷占据全息掩膜面积1%,实际创建的图像质量也不会受到影响。掩膜局部缺陷对成像质量的影响降低了9-10个数量级。这同样可以延长掩膜的使用寿命和降低透镜成本(只需要简单的透镜来照射面罩),甚至利用这一技术可以实现3D光刻。但根据全息图像计算全息掩膜时,他们遇到了数学难题,为此他找到了现代渐近衍射理论的创始人弗拉基米尔安德烈耶维奇博罗维科夫教授,教授为他提供了计算方法。然而全息掩膜的计算量仍然需要超级计算机才能完成。之后他的开发团队致力于简化算法,直至能够在微机上实现,同时他们开发了一个软件包,用以生成全息掩膜(在此过程中他们发现如果用平面波再现全息图将使掩膜的拓扑结构变得无法制造,为此他们通过数学方法解决了会聚球面波的难题)。最初他找到RUSNANO,希望获得对其研发的全息投影光刻技术的投资。但RUSNANO的态度令他感到失望。之后这位老哥找到SEMI欧洲分会主席,于是他获得了瑞士Empa资金支持,并在2015年成立了Nanotech SWHL GmbH公司。按照这位大牛的观点,俄政府领导人熟悉大工业,但不熟悉技术密集型产业,缺乏苏联政府那样对有产业潜力的先进技术孵化投资的远见。而此次外媒报道的无掩膜X射线光刻机虽然无法满足大批量生产的需求。不过2020至2021年9月份,俄整个电子工业只得到2660亿卢布拨款,一座28纳米生产线和配套晶圆厂至少也要投资上万亿卢布,投入这么大一笔费用,俄国内市场也难以提供足够订单维持其运转。光刻机、芯片制造从来不是自古华山一条道,解决不同需求有不同的技术路径(例如大批量生产方面压印法也是比较有发展前景的工艺)。
  • 讲述国产DUV光刻机研发的网剧《我的中国芯》暂缓播出,网友:哪壶不开提哪壶
    原定于7月10日上映的中国芯片科技题材网剧《我的中国芯》,官方微博当日宣布“将暂缓播出”。据介绍,《我的中国芯》由李克执导,顾佑明、何泽远、姚凯辰、周蓉倩、张蓝艺、郭祥、民浩、张傲主演,主要讲述一家民营科技公司承接了国家的重大科研项目,研发用于光刻机的193纳米DUV镭射器。使用这种镭射器的光刻机可以解决绝大多数先进积体电路芯片的生产问题,如果研发成功,对国家具有重大意义。但在研发关键时刻,老板在外国被扣留,公司也陷入资金危机。最终在研发总监的带领下,全司齐心排除万难,成功攻克众多技术难关。据公开资料显示,中国唯一“理论上”制造出的193nm ARF光刻机是上海微电子600系列之中的SSA600。该系列根据光源分成了三个产品,即ARF光源的SSA,KRF光源的SSC,以及i-line光源的SSB。按照新闻所说,此系列的“巅峰”之作是号称可以达到分辨率是90nm的SSA600,按照业界普遍推测的,干式ArF光源的最高可制造工艺节点,应该是65nm,也就是说如果上微给出的一切数据都是准确的没有任何注水成分,那么这一款光刻机可以让中国自主生产65nm以上的所有芯片。上海微电子600系列光刻机官网截图但此前有知情人士也透露,上微ArF193nm光刻机基本上处于黄了的状态,仅售出一台,没有厂家购买,稳定性也不达标。也有消息称,02专项的28nm光刻机项目最终未通过验收。对于该剧,网友也纷纷发表自己的看法:网友1:拍这个我觉得是在自己打自己的脸,估计又是一大笑柄,而且硬件工程是个很硬核的学科,电视剧连个金融工作拍起来都费劲,让这些高考都没有500分的人演工程类的角色,我觉得太为难他们了。网友2:好歹在这行业混过两年,鉴定为魔幻现实主义。网友3:正是因为有了你们这帮文艺界的瞎编人才,才有了乌烟瘴气的圈子,美国把芯片掌握在手心里,为所欲为,随便卡别人的脖子,而中国导演把芯片掌握在荧屏里,拍成剧赚钱!芯片造着就变成造孩了,梦想实现实现这就成了恋爱脑,简直是脱离现实。以前有抗日神剧,现在好了,造芯神剧!我们正在被美帝卡脖子,艰难求存,这帮人以此为题材拍了一部《铁脖子是怎么炼成的》,相当于前线正拿命抗战,后台在上演一部风花雪月抗战神剧,这不是故意恶心人吗?网友4:DUV光刻机还没造出来,电视剧先造出来了,建议上海微电子公司把电视剧里的导演和演员抓去研发光刻机网友5:坏了。说明研发过程不如剧更新的快了网友6:蚌埠住了,芯片没整出来剧先整出来了,科幻片是吧网友7:哪壶不开提哪壶......
  • 客户成就 |Nanoscribe微纳加工技术助力纳米粒药物递送研发
    在长期对药物递送的研究中,学者发现纳米颗粒已成为克服常规药物制剂及其相关药代动力学限制的合适载体。随着微流控设备的创新混合和过滤技术发展,针对药物研究新领域的探索正在得到不断拓展。特别是脂质纳米粒携带药物的新发现吸引了研究人员的浓厚兴趣。脂质体已被证明在溶解治疗药物方面具有优势,可以控制药物长期缓释,大大延长了药物的循环寿命。微流体的性能对于在极小尺寸下精确制备脂质纳米粒作为药物载体具有巨大优势。在这一领域,德国布伦瑞克工业大学(TU)的一个科研团队利用Nanoscribe的高精度3D微纳加工技术发明了一种特制的微流控芯片。该芯片包含一个创新的混合器,用于生产单分散载药纳米颗粒,并进行精确的粒径控制。这将有助于推动新的药物递送概念发展。图示同轴层压混合器可以完全消除与带通道壁有机相的接触,同时有效地混合有机相和水相。这种独特的混合器包括同轴注射喷嘴、一系列拉伸和折叠元件以及入口过滤器是无法通过传统的2.5D微纳加工实现的,但是3D双光子聚合技术则可以完美实现加工制造。图片来自于Peer Erfle, TU Braunschweig生产有效且成本效益高的定制药物在制药行业广受关注。难溶性药物的特性限制其口服和非肠道给药,为解决难溶性问题,含有难溶性药物的脂质纳米粒将成为有效候选药物,因为它们提供更快的溶解速度。然而,生产这些脂质纳米粒则非常具有挑战性。整个流程包括多个步骤,例如纳米颗粒的制备和药物载体与纳米颗粒的结合。在纳米颗粒的生产过程中,重要的是管理窄粒径分布,以达到70 nm至200 nm的要求范围。为此,与批量混合技术相比,微流控系统提供了一种更为优化的解决方案。微流体能够精确控制和调节极少量液体的混合,且在微流体中的混合可同时实现纳米颗粒的制备。而这需要使用更有效、更复杂的混合元件来调节纳米颗粒的性质并优化混合机制。如今科学家们利用Nanoscribe公司双光子聚合(2PP)技术制作自由曲面三维微流控元件,并将其集成到复杂的微流控芯片中。这种多功能3D微加工的使用旨在实现缩小粒度分布。复杂微流控芯片3D微纳加工制作布伦瑞克大学(TU Braunschweig)的科学家们通过对微流控领域的研究发明了一种开创性的解决方案,以制备单分散的药物载体纳米粒。他们利用Nanoscribe公司的双光子聚合3D打印技术制作出完整的微流控芯片。该芯片采用独特的微纳混合器件,用于同轴层压和稳定的纳米颗粒生成。整个厘米级微流控芯片由一个连接到横向通道的主通道、一个用于同轴注射喷嘴、一系列3D混合原件和用于减少污染的入口过滤器组成。这种复杂的芯片设计因其小型化特性和极高的表面质量脱颖而出(如内径达到200µm的主通道,孔径达到15µm的入口过滤器)。可以混合有机相和水相的拉伸和折叠微纳元件具有复杂的3D结构。在以往,由于底部内切结构和开放圆柱区域难以成型,传统的2.5D微纳加工和使用微纳注塑成型的大规模生产是无法制造这种微流控系统的。由Nanoscribe公司打印系统制作的3D微纳加工微流控系统可实现用于生产特定尺寸的纳米颗粒,并具有高度复制性特点。用三个单独制作的微纳系统对相同的设计做了测试,结果显示出纳米颗粒大小在几纳米范围内的分散性变化非常小。该结果证实了基于Nanoscribe 2PP技术的3D打印能够生产出具有窄粒径分布的高重复性纳米颗粒。这些发现对未来实现纳米颗粒的平行生产制造具有重要意义。位于喷嘴下游的一个拉伸和折叠混合元件的SEM图像。图片来自于Peer Erfle, TU Braunschweig科研团队:Technical University Braunschweig – Institute of Microtechnology Technical University Braunschweig – Department of Pharmaceutics Technical University Braunschweig - PVZ - Center of Pharmaceutical Engineering Nanoscribe Photonic Professional GT2使用双光子聚合(2PP)来产生几乎任何3D形状:晶格、木堆型结构、自由设计的图案、顺滑的轮廓、锐利的边缘、表面的和内置倒扣以及桥接结构。Photonic Professional GT2结合了设计的灵活性和操控的简洁性,以及广泛的材料-基板选择。因此,它是一个理想的科学仪器和工业快速成型设备,适用于多用户共享平台和研究实验室。Nanoscribe的3D无掩模光刻机目前已经分布在30多个国家的前沿研究中,超过1,000个开创性科学研究项目是这项技术强大的设计和制造能力的证明。更多有关3D双光子无掩模光刻技术和产品咨询欢迎联系Nanoscribe上海分公司 - 纳糯三维科技(上海)有限公司德国Nanoscribe 超高精度双光子微纳3D无掩模光刻系统: Photonic Professional GT2 双光子微纳3D无掩模光刻系统 Quantum X 双光子灰度光刻微纳打印设备
  • ASML重申:在韩国建光刻机维修中心,投资2.12亿美元
    ASML称,公司首席执行官 Peter Wennink 正在访问该国,宣布参与当地芯片集群项目,并与当地员工会面,因为该公司正在扩大其在韩国的业务,因为芯片持续短缺。ASML 是世界上唯一的极紫外 (EUV) 光刻机生产商,这对于制造先进芯片至关重要。韩国是该公司最大的市场之一,行业领导者三星电子公司和 SK 海力士公司都位于韩国。该公司发言人表示,这次没有安排与主要客户的正式会面,并拒绝透露此次行程的更多细节。Wennink 上一次访问该国是在 11 月,他会见了三星和 SK hynix 的官员,讨论芯片开发和供应问题。三星电子副会长李在镕于 2020 年 10 月访问荷兰 ASML 总部,就生产 7 纳米以下工艺芯片所必需的 EUV 设备的供应计划、先进半导体制造技术的合作以及行业前景进行了讨论。在最近接受英国《金融时报》采访时,文宁克表示,该公司无法足够快地跟上全球需求,业界担心关键芯片制造机器将面临两年的短缺。该市表示,周一,Wennink 访问了首尔以南约 40 公里的华城,与市政府官员讨论目前正在建设的半导体集群以及培养当地人才的方法。“在市政府的大力支持下,ASML 华城半导体集群进展顺利,”该市援引文宁克的话说,并补充说,首席执行官表示要继续与市政府密切合作。11 月,ASML 与华城签署了一份谅解备忘录,将投资 2400 亿韩元(2.12 亿美元),到 2024 年在那里建设一个 16000 平方米的芯片集群。这些设施将包括一个可容纳多达 1500 名员工的办公室、培训和 EUV 机器的维修中心。据该公司称,韩国是 ASML 的主要市场,占其 2021 年总净销售额的 33.4%。上一年为29.7%。这家总部位于 Veldhoven 的公司于 1996 年在韩国开始运营,其全球分销、支持和培训中心位于四个城市——清州、忠清南道、华城、平泽和利川,均位于京畿道。该公司表示,它拥有 1,400 多名当地员工,其中 70% 是年轻的千禧一代。今年早些时候,Wennink 表示,该公司将积极扩大韩国业务,到 2029 年再雇佣 1,000 名当地员工。ASML将2025年韩国的销售额目标扩至147.5亿欧元据韩国科技媒体ETnews报道,ASML 将其2025 年在韩国的极紫外 (EUV) 光刻机销售目标提高到 20 万亿韩元(约合147.5亿欧元)。这个数字是去年的两倍多。这主要得益于三星电子和SK海力士的投资大幅增加。报道称,ASML 去年的总销售额为 186 亿欧元(约合 25.22 万亿韩元)。其中,韩国地区的销售额为62.23亿欧元(约合8.43万亿韩元),中国台湾地区销售额为72.23亿欧元(约合9.93万亿韩元),分别比上年增长50%和55%。与 2021 年相比,ASML 预计 2025 年韩国销售额的比例将增加一倍以上。考虑到每年两位数的增长率,很有可能达到20万亿韩元。截至去年,ASML 已售出 42 台 EUV 光刻机设备,其中三星电子和台积电采购的 EUV 设备最多。报道称,预计三星电子今年将引进10 余台 EUV 光刻机,以加强其先进的代工工艺。此外,SK海力士计划截至2025年签署价值4.75万亿韩元(约合35亿欧元)的EUV光刻机引进合同。
  • 世界上最高分辨率的光刻系统来了,可制造0.7纳米芯片
    9月21日,美国原子级精密制造工具的纳米技术公司Zyvex Labs发布公告,已推出世界上最高分辨率的光刻系统“ZyvexLitho1“,其使用电子束光刻技术,实现了768皮米(即0.768纳米)的原子级精密图案和亚纳米级分辨率。Zyvex Labs已经开始接受ZvyvexLitho1系统的订单,交货期约为6个月。EUV光刻机是当前先进制程的必备设备。荷兰阿斯麦(ASML)作为全球第一大光刻机设备商,同时也是全球唯一可提供EUV光刻机的设备商。在市调机构CINNO Research发布的2022年上半年全球上市公司半导体设备业务营收排名Top10报告中排名第二。Zyvex Labs此次推出的ZyvexLitho1光刻系统,基于STM扫描隧道显微镜,使用的是EBL电子束光刻方式,可以制造出了0.7纳米线宽的芯片,相当于2个硅原子的宽度,是当前制造精度最高的光刻系统。据悉,ZyvexLitho1光刻系统ZyvexLitho1的高精度光刻可以用于实验室阶段高端制程工艺的产品研发,是传统芯片制造所需光刻机的一个应用补充,主要可用于制造对于精度有较高要求的量子计算机的相关芯片,例如高精度的固态量子器件以及纳米器件及材料,对半导体产业的发展也具有巨大的促进作用。目前,Zyvex Labs已经开始接受订单,6个月内就可出货。对于这个新型光刻系统是否会威胁到EUV光刻的统治地位,赛迪顾问集成电路产业研究中心一级咨询专家池宪念表示:“短期内并不会“,他指出ZyvexLitho1是一种使用电子束曝光作为光刻方式的设备,与传统光刻机工作原理会有明显的差异。它是通过电子束改变光刻胶的溶解度,最后选择性地去除曝光或未曝光区域。它的优势在于可以绘制10纳米以下分辨率的自定义图案,是属于无掩模光刻直接写入的工作方式,精度远高于目前的传统光刻机。但是由于这类型设备的单个产品光刻的工作时间要在几小时到十几小时不等,工作效率方面还需进一步提高,因此不会快速取代EUV光刻机。
  • Nanoscribe 双光子聚合技术 - 微纳3D打印设备
    双光子聚合是物质在发生双光子吸收后所引发的光聚合过程。双光子聚合利用了双光子吸收过程对材料穿透性好、空间选择性高的特点,在三维微加工、高密度光储存及生物医疗领域有着巨大的应用前景。近年来已成为全球高新技术领域的一大研究热点。德国Nanoscribe公司的Photonic Professional GT系列是世界上公认的目前打印精度最高的微纳 3D 打印机,其运用的 双光子聚合技术 (Two-Photon Polymerizatio,TPP)是一种“纳米光学”3D打印方法。常见的3D打印设机工作原理都是分层制造,层与层之间的精度很受限,这使得3D打印机难以制造低粗糙度、高精度的器件,如各种光学元件、微纳尺度的结构器件等等。而德国Nanoscribe公司的基于双光子聚合技术的3D打印设备为亚微尺度和纳尺度结构制造提供了一种有效的解决方案。与传统的单光子聚合的SLA打印机技术相比,双光子聚合技术的原理是通过将激光聚焦在光敏树脂内,计算机控制移动纳米位移台,使得焦点经过的位置固化光敏树脂,在≤ 100 mm/s的高速扫描下实现160nm最低横向打印线宽,而粗糙度可保持在≤20nm,从而可以打印任意形状的三维物体。换句话说双光子聚合3D打印技术比传统光固化成型技术精确度高了几百倍,打印出来的东西比细菌还小。TPP技术是现在市面精度最高的3D打印技术。TPP技术广泛应用于微光学,微电子,微流控,微器件,生命科学等领域,它给3D打印从业者和科学家提供了一种强有力的解决方案,来设计和加工多种多样的微纳结构。现在Nanoscribe客户遍布全球30个国家,超过1500名用户。全球前十顶尖大学中已经多所正在使用Nanoscribe3D打印机。这些大学包含哈佛大学、加州理工学院、牛津大学伦敦帝国学院和苏黎世联邦理工学院等等。在科研领域,Nanoscribe 的系列3D打印设备帮助推动着研究者们高端创新科技领域的探究。Nanoscribe 在2017年底在上海成立了中国分公司 - 纳糯三维科技(上海)有限公司,加强了德国高新技术在中国的销售推广。在巩固并扩大了现有业务关系的同时拓展了售后服务范围,更好的为亚太地区客户提供专业技术支持。更多技术咨询可联系纳糯三维科技(上海)有限公司 销售技术团队
  • 俄罗斯明年开始生产光刻机!
    根据俄罗斯媒体报道指出,俄罗斯正在研发生产芯片的微影光刻机。其工业和贸易部副部长Vasily Shpak 在接受媒体访问时指出,2024 年将开始生产350 纳米微影光刻机,也就是说在明年俄罗斯就能拥有自己的光刻机了。此外,在2026年启动用于生产130 纳米制程芯片的微影光刻机。其生产将在莫斯科、泽列诺格勒、圣彼得堡和新西伯利亚的现有工厂进行。Vasily Shpak 指出,当前全球只有两家公司生产此类设备,包括日本NIKON 和荷兰ASML。然而,其对于半导体的生产相当重要。Vasily Shpak 指出,一个简单的逻辑就是,如果没有半导体主权,那就没有技术主权,那么你在国防安全和政治主权方面就非常脆弱。而现在俄罗斯已经掌握了使用外国制造65 纳米微影光刻机的技术,但因为外国公司被禁止向俄罗斯出口先进的微影光刻机,所以俄罗斯正在匆忙开发自己的生产设备。Vasily Shpak 表示,2024 年就将拨款2,114 亿卢布(约23亿美元)用于国内电子产品的开发。而俄罗斯决定开发350 纳米到65 纳米微影光刻机的原因,在于这一技术范围内的芯片多用于微控制器、电力电子、电信电路、汽车电子等方面上,这些应用大约占市场的60%。所以,这项设备在全世界市场的需求量很大,并且将在至少10 年内有持续的需求。另外,当被问到可能遭遇的阻力时,Vasily Shpak 说,我不想抱怨,所有的问题都不是问题,因为这关系到我们拥有哪些机会,以及所设定的目标。
  • 苏州纳米所报道长循环高电压聚合物基固态锂金属电池
    锂金属因具有高理论容量(~3860 mAh g-1)和低氧化还原电位(相对于标准氢电极为-3.04 V),是颇有前景的锂电池电极材料之一。然而,锂枝晶的生长将会顶穿隔膜,引起电池短路热失控,甚至引燃电解液等,存在安全隐患。使用具有高机械强度的固态电解质代替电解液,可以有效阻止锂枝晶生长,从而提高锂金属电池(LMBs)安全性。相比无机电解质较高的界面接触阻抗,聚合物电解质(SPEs)可与电极形成紧密的物理接触而备受关注。   然而,用于导锂的含氧极性官能团容易被氧化,成为限制电化学稳定性的瓶颈。虽然通过开环聚合消除弱键、引入含氟官能团等策略可拓宽电化学窗口(ESW),但宽ESW难以直接转化为长循环LMBs的高截止电压。一方面,测试ESW的线性扫描伏安法使用的阻塞电极通常是平坦的不锈钢,与具有高表面积碳导电剂的实际电极相比,显示出较低的反应活性,易高估ESW;另一方面,具有过渡金属的正极材料较强的催化活性,易加剧氧化。目前,适用于截止电压为4.5V或更高的长循环LMBs的聚合物电解质有待证明。   近日,中国科学院苏州纳米技术与纳米仿生研究所应用多氟化交联剂来增强聚合物电解质的抗氧化性。交联网络有助于传递多氟化链段的吸电子效应,并具有普适性。进一步通过组分优化后,基于多氟交联剂的聚合物电解质同时表现出宽ESW、高电导率和高机械强度。组装的Li||NCM523全电池在0.5C和4.5 V的截止电压,获得了~164.19 mAh g-1的高放电比容量,并在200次循环后容量保持率90%,是当前领域报道的最佳循环稳定性之一。   相关研究成果以Polyfluorinated crosslinker-based solid polymer electrolytes for long-cycling 4.5 V lithium metal batteries为题,发表在《自然-通讯》(Nature Communications)上。研究工作得到国家重点研发计划、国家自然科学基金、中科院稳定支持基础研究领域青年团队计划、江苏省碳达峰碳中和科技创新专项等的资助,并获得苏州纳米所纳米真空互联实验站(Nano-X)的技术支持。新加坡南洋理工大学科研人员参与研究。图1.SPE的制备图2.SPE的ESW。a.Li|PVEC/P(IL-OFHDODA-VEC)|C的LSV曲线;b.PIL、POFHDODA、PVEC、P(IL-OFHDODA)、P(IL-VEC)和P(OFHDODA-VEC)的ESW。图3.Li|P(IL-OFHDODA-VEC)|NCM523全电池的电化学性能。a.Li|P(IL-OFHDODA-VEC)|NCM523全电池在0.5 C下的循环性能;b.Li|P(IL-OFHDODA-VEC)|NCM523全电池的第1-200次充放电曲线;c.Li|P(IL-OFHDODA-VEC)|NCM523全电池的倍率性能;d-f.充满电的Li|P(IL-OFHDODA-VEC)|NCM523软包电池在折叠前(d)和折叠后(e)或切割后(f)点亮LED灯的照片。
  • 中科院布局光刻机 助力企业摆脱“卡脖子”命运
    p style=" text-indent: 2em text-align: justify " 众所周知,在9月15日之后,华为已被全面断供。浓浓的情绪之下,中科院作为中国研发硬实力宣布牵头研发光刻机。 span style=" text-indent: 2em " 中科院布局光刻机和卡脖子相关技术的消息,一经发出便引发了业界的欢呼。 /span /p p style=" text-indent: 2em text-align: justify " 9月16日,根据媒体报道,中科院院长白春礼接受采访时表示:“未来中科院将集结全院之力攻克光刻机、关键材料等重点技术,帮助国内科技企业摆脱被西方国家卡脖子的命运。” br/ /p p style=" text-indent: 0em text-align: center " img style=" max-width:100% max-height:100% " src=" https://img.21ic.com/weixin/2020/9/Z3Uvy2.png" / /p p style=" text-indent: 2em text-align: justify " 虽然,光刻机技术落后,特别是EUV极紫光刻机落后是事实。但事实上,光刻机产业并不是从零开始的。 /p p style=" text-indent: 2em text-align: justify " 2019年,上海集成电路峰会上,国家集成电路创新中心总经理张卫向透露的“中国集成电路技术路线图”中的六大内容中,就包括了先进光刻工艺发展趋势的这一项。 /p p style=" text-indent: 2em text-align: justify " 另外,国内光刻技术早已达到65nm水平,甚至更高水平的工艺的消息频繁流出。 /p p style=" text-indent: 2em text-align: justify " 今年7月,中科院方面公布了一种5纳米工艺的激光光刻技术,2019年12月份,中科院还公布了一项新型垂直纳米环栅晶体管技术。 /p p style=" text-indent: 2em text-align: justify " 可以说,在先进技术,特别是光刻机上,中科院一直是在努力之中的,只不过是背后默默的英雄。 /p p style=" text-indent: 2em text-align: justify " 21ic家认为,国内最大的研究所加入到光刻机的研发,不仅拥有最好的人才和研发资源,还具有最好的牵头作用。 /p p style=" text-indent: 2em text-align: justify " 众所周知,虽然光刻机一直在卡脖子,但要知道光刻机也拥有自己的产业链,包括镜头、激光、光刻胶材料、测试测量都是必备的环节。当然,中科院也明确表示将会将卡脖子的技术都列入可研清单之内,因此中科院的未来研究成果非常值得期待。 /p
  • 【PNP】聚合物纳米药物载体使用多检测器SEC分析的应用案例
    纳米药物载体热点应用#本文由马尔文帕纳科GPC应用专家冯慧庆供稿#2022 PNP聚合物纳米药物载体纳米药物载体可实现靶向药物治疗。靶向给药治疗是指供助载体、配体或抗体将药物通过局部给药或全身血液循环而选择性地定位于靶组织、靶器官、靶细胞或细胞内结构的给药系统。在特定的导向机制作用下,纳米药物载体输送药物到特定靶点,发挥治疗作用,可达到药剂用量少、毒副作用低、药效持续、生物利用度高、长时间保持靶目标的有效药物浓度的效果。常见的纳米药物运载体系在药学研究中,正确定位小分子药物的给药位置和控制药物释放曲线是一个关键的挑战。通过小分子药物与聚合物纳米载体偶联起来,在很大程度上实现细胞内精准靶向给药,在实际应用过程中有较好的效果。该方法既可用于控制药物释放曲线,又可用于控制药物释放位置,以最大限度地减少可能的副作用。阿霉素(Doxorubicin)阿霉素(Dox)是一种高效抗肿瘤抗生素,对肺癌、急慢性白血病等多种恶性肿瘤都有很强的细胞毒性,其机制是:通过将自身插入细胞的DNA碱基对中,破坏DNA的双螺旋结构,阻断DNA复制和RNA转录。通常是通过血液循环导入肿瘤细胞实现其抗肿瘤功能。聚谷氨酸(PG)是一种以氨基酸谷氨酸为基础的具有生物相容性的聚合物。试验结果表明Dox和PG的偶联,可以实现靶向给药,提高药物在靶体内的聚集度,延长体内循环时间,降低毒副作用。在本文中我们展示了马尔文帕纳科OMNISEC多检测器SEC如何对PG、Dox 和两个PG-Dox 偶联样品进行表征。这种先进的分析技术可用于研究药物加载效率和药物加载后发生的聚合物结构变化。研究方法 PG和PG-Dox偶联物溶解在在pH7.4的PBS缓冲液中,通过OMINISEC进行样品的分离和检测。OMNISEC是一个多检测器SEC系统,包括示差检测器(RI)、紫外检测器(UV)、光散射检测器(LS)和粘度检测器(IV)。流动相为PBS pH 7.4,含30%(v/v)甲醇水溶液;采用马尔文A6000M和A3000色谱柱分离。OMNISEC多检测器SEC检测结果与讨论 测试PG样品和两个PG-Dox偶联物样品色谱图如图1所示,PG的数值结果见表1。PG样品分离显示一个单峰,测得其平均分子量(MW)约为13KDa。再看两个偶联样品,都分离出和PG具有相似保留体积的多峰。较早洗脱的光散射色谱图(绿色,12-14mL)表明存在一些大的聚集体。而且,这些峰包含明显的紫外吸收信号,表明Dox的存在成功地偶联到聚合物上。图1 PG(A)、PG-Dox 1(B)和PG-Dox 2(C)多检测器色谱图表1 PG测试结果在图2 A中可以看到,在不同进样量下检测游离Dox的UV色谱图,可以看到游离的Dox从柱上洗脱得很晚,实际上已经在整个柱体积之后。这清楚地表明了Dox与色谱柱发生了显著的相互作用,延迟了Dox的洗脱。但从图2 B所示浓度响应曲线可以看出,尽管存在相互作用,回收率仍然接近100%。该校准曲线用来测量存在于PG-Dox样品中的Dox的量。图2 A:不同进样量Dox在UV(490nm)色谱图;B:Dox浓度校准曲线如果我们确定36mL处的峰为游离Dox,这样PG-Dox样品中的相同位置峰也能确定为游离Dox。如图3所示,可以清楚地确定偶联样品含有PG-Dox偶联物和游离Dox。图3 UV色谱图显示偶联样品含有PG-Dox偶联物和游离Dox使用图2 B中的浓度校准曲线,可以计算偶联样品中存在的Dox量。如表2所示,两种PG-Dox偶联物都含有游离的Dox。在一次注射体积中,PG-Dox 1的偶联物中含有大约11μg的Dox,而PG-Dox 2的偶联物中含有大约39μg的Dox。然后,可以计算出样品中注入的总Dox质量和Dox浓度。然后,可以根据溶解物质的质量计算出近似的总样品浓度。这样就可以计算每个PG-Dox偶联物中Dox的近似负载量。由此可以近似地看出,样品2的偶联物中含有的Dox是样品1的三倍。表2 计算两个偶联样品中Dox的负载量我们可以对PG-Dox偶联物进一步表征(其中dn/dc假设分析),计算偶联聚合物的近似分子量、特性粘度和结构数据,如表3所示。表3 PG-Dox偶联物测试结果结论 本文展示了如何将多检测器SEC用于高分子聚合物
  • Nature Communications:纳米红外研究无机纳米颗粒-聚合物复合材料界面效应
    Nature Communications:纳米红外研究无机纳米颗粒-聚合物复合材料界面效应布鲁克纳米表面事业部 魏琳琳 博士英文题目:Nature Communications: Unraveling bilayer interfacial features and their effects in polar polymer nanocomposites摘要以聚合物为基体,无机纳米粒子为填料的聚合物纳米复合材料具有优异的力学、电学和热学性能。纳米颗粒和聚合物之间的界面效应通常被认为是实现这些性能增强的关键因素。然而,如何理解界面效应以及界面微区的结构与性能是聚合物纳米复合材料领域长期面临的基础性难题。近期,来自武汉理工大学、清华大学、伍伦贡大学等学校的科学家们将Bruker的光热诱导纳米红外技术与其他先进技术相结合,直接探索纳米颗粒-聚合物纳米级界面区域。研究发现无机纳米颗粒与聚合物基体的界面存在强极性构型的“双界面层”结构,包括10纳米厚的内层和大于100纳米的外层界面。分子动力学及相场模拟结果表明纳米颗粒表面电势以及颗粒间距的协同作用是形成界面极性构型的关键作用机制。这项研究的结果有助于阐明界面处的相互作用机制,并为制备纳米复合材料以获得最佳性能提供有价值的见解。利用无机纳米粒子/聚合物复合材料的高极性“双界面层”行为,科学家们在具有超低无机填料含量的纳米复合材料中获得了显著增强的介电及压电性能。相关研究成果以Unraveling bilayer interfacial features and their effects in polar polymer nanocomposites为题,发表在Nature Communications上。实验内容实验选择典型的铁电聚合物PVDF作为基体,填充TiO2纳米颗粒。其中PVDF膜层的厚度低于纳米颗粒的直径,使TiO2能够暴露在膜层表面(图1 a)。图1b,c 样品表面和横截面的SEM图像显示颗粒表面存在约10nm的包裹层。HADDF和碳成像图(图1d,f)进一步表明10nm的结合层富含碳元素,为有机碳链键合在纳米颗粒表面。采用布鲁克nanoIR3纳米红外系统进一步研究了界面区域的化学结构(图1 e f)。采用PVDF极性构象的波数(866cm-1)和非极性构象的吸收波数(766cm-1)进行红外成像,分别对应图1f中图和右图。红外成像图显示纳米颗粒周围存在100nm以上强极性构象区域。压电力显微镜(PFM)采集平行于膜平面和垂直于膜平面的L-PFM图像及面外V-PFM图像,结果显示颗粒的L-PFM呈现一半亮一半暗的结构,V-PFM呈现全亮的结构。表明纳米颗粒/聚合物的内层界面区域内偶极子的极化方向垂直于纳米颗粒表面。综合以上的观测结果,作者揭示了无机纳米颗粒与聚合物基体的界面存在强极性构型的“双界面层”结构, 由10nm的极性偶极子内层界面的和100nm强极性构象的外层界面组成。 图1 直接观测无机纳米颗粒与聚合物基体的“双界面层”结构作者采用nanoIR3纳米红外系统进一步研究了纳米颗粒的间距对界面效应的影响(图2)。距离较远的纳米颗粒会形成强极性构象结构界面(图2 b左图);距离相对较近的纳米颗粒,其界面区域相互重叠,将抑制极性构象的形成(图2 b中图);纳米颗粒相互连接时,界面区域也倾向于相互合并(图2 b右图)。FTIR检测不同TiO2纳米颗粒含量的宏观材料中极性构象的比例(840 cm&minus 1/766 cm&minus 1及 1279 cm&minus 1/766 cm&minus 1峰强比),TiO2纳米颗粒含量0.35%时极性构象最多,继续增加纳米颗粒含量,由于纳米颗粒间距变小,界面区域相互重叠使极性构象含量降低。分子动力学及相场模拟表明极性构象界面的形成取决于纳米颗粒表面电势以及颗粒间距的协同作用。图2 纳米颗粒/聚合物复合材料界面极性区域采用纳米叠层设计(Al2O3/PVDF/ Al2O3)表征单一界面层的贡献。纳米叠层纳米复合材料的介电常数εr与PVDF的膜厚具有很大的相关性,并随着PVDF膜厚的减小而增加。由于界面极性层的影响,纳米叠层纳米复合材料显示出比Al2O3(εr~9.8)和PVDF(εr~7.8)更高的εr。而Al2O3 (15 nm)/PVDF (10 nm)/Al2O3 (15 nm)/PVDF (10 nm)/Al2O3 (15 nm),包含两层内层界面层结构,表现出86 J/cm3的超高介电能量密度,远高于文献报道的纳米复合材料的介电能量密度。同时具有76%的能量效率,与大多数介电聚合物或纳米复合材料相当。图3 内层界面层增强复合材料介电性能 总结借助于布鲁克纳米红外系统,直接观测到纳米颗粒-聚合物复合材料的极性界面构象,并研究了颗粒间距对极性构象的影响。结合其他科学工具的结果,本文的工作促进了对聚合物纳米复合材料中界面基础科学问题的理解,可为高性能极性聚合物复合材料的设计与开发提供指导,并推动介电储能、电卡制冷、柔性压电传感等高新前沿技术领域的发展。 本文相关链接:Unraveling bilayer interfacial features and their effects in polar polymer nanocomposites [J] Nature Communications volume 14, Article number: 5707 (2023)https://www.nature.com/articles/s41467-023-41479-0
  • ASML完成第100台EUV光刻机出货
    最新数据显示,ASML在12月中完成了第100台EUV光刻机的出货。业内预估ASML今年(2021年)的EUV光刻机产能将达到45~50台的规模。7纳米及更先进制程,必须借助光刻设备转印半导体电路图案。追逐先进制程的芯片制造厂商中,台积电和三星均已引入光刻机。目前,台积电和三星已进入5nm工艺的量产阶段,台积电代工的产品包括苹果A14、M1、华为麒麟9000等,后者则包括Exynos 1080、骁龙888等。据日经中文网报道,在半导体制造领域将电路转印到基板的设备市场上,尼康、佳能和荷兰ASML这三家企业形成垄断,但支持EUV技术的设备目前只有ASML成功实现商用化。ASML表示,迭代到5nm后,EUV的层数达到了10~14层,包括但不限于触点、过孔以及关键金属层等过程。未来的3nm、2nm,对EUV的依赖将更甚。另外,ASML定于明年中旬交付最新一代EUV光刻机TWINSCAN NXE:3600D,生产效率提升18%、机器匹配套准精度改进为1.1nm,单台价格或高于老款的1.2亿欧元(约合9.5亿元人民币)。
  • 北大新型电镜技术助力国产光刻机攻关
    北京大学量子材料科学中心高鹏研究组基于扫描透射电子显微镜发展了四维电子能量损失谱技术,突破了传统谱学手段难以在纳米尺度表征晶格动力学的局限,首次实现了半导体异质结界面处局域声子模式的测量,近日更是被《半导体学报》列为2021年度中国半导体十大研究进展。这项科技成果的诞生,不仅是我国高端科学仪器领域的一个重要突破,更为实现国产EUV光刻机、掌握芯片核心技术、攻克国产半导体核心技术壁垒增添了动力。四维电子能量损失谱测量界面晶格动力学(a)实验原理示意图;(b)实验测得的声子局域态密度空间分布;(c)界面模式的色散关系。芯片的重要性不用再说,一直被称为“现代工业的粮食”。芯片生产流程中最复杂、最关键的工艺步骤“光刻”需要光刻机来完成,因此,光刻机又被称为“现代光学工业之花”,是盘活国产半导体行业的“齿轮”。光刻机科技含量高,制造工序复杂,仅荷兰ASML的一款EUV光刻机就需要来自全球35个国家5000多家企业的10万多个元器件,并且其中90%的零部件也都是依赖于进口。目前,全球仅有三个国家的四家公司能够制造,荷兰ASML与日本的佳能、尼康占据了全球光刻机市场99%的份额。其中,ASML市场份额常年高达60%以上,呈现霸主垄断地位,并且完全地垄断了超高端光刻机领域;日本的尼康和佳能曾经非常领先,但后来被ASML超越,现在只能生产中低端光刻机;我国的上海微电子的封测光刻机做得不错,但前道光刻机还有差距。说完市场再论技术EUV光刻机一般指极紫外线光刻机,是生产7nm工艺以下芯片的必要设备。制造EUV光刻机最为关键的三大核心组件包括极紫外光源、双工件台和镜头。攻克这三关,制造EUV光刻机指日可待。极紫外光源是顶级光源,ASML在2012年通过收购美企Cymer掌握了此项技术。我国的上海光机所和长春光机所已经突破了14纳米极紫外光源技术,即将转入到实际成果的投用环节。双工件台属于精密仪器制造技术,之前是ASML独家掌握的技术,目前清华旗下的华卓精科已经实现突破,成为了全球第二家掌握光刻机双工件台技术的企业,已成功供货上海微电子。三大核心组件中,最重要的是高精度镜头,一直被德国蔡司垄断。就算是光刻机巨头ASML的镜头也得依赖德国蔡司,而且30多年来,ASML对蔡司的依赖越来越严重。EUV光学镜头由于技术壁垒高、突破难度大。因为都是欧洲企业,ASML可以依赖蔡司,我们中国却不能,因为《瓦森纳协议》。如今,ASML想要研发更先进的EUV光刻机,就需要更大数值孔径的镜头。但这对蔡司来说也是一个挑战,毕竟数值越大,制作难度就越大。德国蔡司的镜头是人工打磨,属于传统谱学制成的EUV光镜。而EUV光镜决定着EUV光刻机的制程上限,基于传统谱学的EUV光镜很难满足硅基半导体未来发展的需求。即使是非常厉害的蔡司,往更高精度发展,也会越来越难以保证。现下,北京大学掌握的这项新型扫描透射电子显微镜技术,就可以规避传统光谱镜头的局限性,能向更高精度发展。简单来说,光学镜头做不到的,电子镜头能做到。光学镜头能做到的,电子镜头也能做到,而且精确性更高。加上上海光机所、长春光机所的极紫外光源技术和华卓精科双工件台技术,我国围绕EUV光刻机的相关技术正在陆续突破,接下就是提高精度,实现合围了。国内光刻机技术的陆续突破,主要源于我们早就布局,并不是近年来才起步。这跟我们的高端科学仪器产业链一样,各项技术环节都在攻坚,相信不远的未来一定能够实现突破。
  • 佳能推出适合高功率半导体的光刻机
    日本佳能正通过光刻机加快抢占高功能半导体市场。佳能时隔7年更新了面向小型基板的半导体光刻机,提高了生产效率。在用于纯电动汽车(EV)的功率半导体和用于物联网的传感器需求有望扩大的背景下,佳能推进支持多种半导体的产品战略。目标是在三大巨头垄断的光刻机市场上确立自主地位。佳能将于2021年3月发售新型光刻机“FPA-3030i5a”,该设备使用波长为365纳米的“i线”光源,支持直径从2英寸(约5厘米)到8英寸(约20厘米)的小型基板。分辨率为0.35微米,更新了测量晶圆位置的构件和软件。与以往机型相比,生产效率提高约17%。佳能的光刻机新机型调整了测量晶圆位置的“校准示波器”的构成,与曝光工序分开设置了测量单元。通过同时进行纵横两个方向的测量而缩短了时间,并通过扩大测量光的波长范围,实现了对难以识别标记的多层基板和透明基板的支持,而且能够识别出晶圆背面的标记。除了目前主流的硅晶圆之外,新机型还可以提高小型晶圆较多的化合物半导体的生产效率。包括功率器件耐压性等出色的碳化硅(SiC),以及作为5G相关半导体材料而受到期待的氮化镓(GaN)等。随着纯电动汽车和物联网的普及,高性能半导体的需求有望增加。在半导体光刻机领域,荷兰ASML和日本的佳能、尼康3家企业占据了全球9成以上的份额。在促进提升半导体性能的精细化领域,可使用短波长的“EUV”光源的ASML目前处于优势地位。佳能光学设备业务本部副业务部长三浦圣也表示,佳能将根据半导体材料和基板尺寸等客户制造的半导体种类来扩大产品线。按照客户的需求,对机身及晶圆台等平台、投影透镜、校准示波器三个主要单元进行开发和组合,建立齐全的产品群。佳能还致力于研发“后期工序”(制作半导体芯片之后的封装加工等)中使用的光刻机。2020年7月推出了用于515毫米×510毫米大型基板的光刻机。以此来获取把制成的多个芯片排列在一起、一次性进行精细布线和封装的需求。佳能还致力于“纳米压印”(将嵌有电路图案的模板压在硅晶圆的树脂上形成电路)光刻设备的研发。据悉还将着力开展新一代生产工艺的研发。
  • ASML最先进的光刻机,花落谁家?
    4月上旬,全球光刻机龙头企业ASML发布了其最新一代极紫外线(EUV)光刻设备Twinscan NXE:3800E,该工具投影透镜拥有0.33的数值孔径,旨在满足未来几年对于尖端技术芯片的制造需求,包括3nm、2nm等小尺寸节点。ASML还计划进一步推出另一代低数值孔径(EUV)扫描仪Twinscan NXE:4000F,预计将于2026年左右发布。近日,据外媒消息,ASML截至2025上半年的高数值孔径EUV(High-NA EUV)设备订单由英特尔全部包揽,据悉,英特尔在宣布重新进入芯片代工业务时抢先购买了这些设备。由于ASML的高数值孔径EUV设备产能每年约为五至六台,三星等其他大厂或需要2025下半年后才能获得设备。ASML方面则计划未来几年要改善产能,年产能增加至20台。据悉,ASML的高数值孔径EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元(当前约26.47亿元人民币)。NA代表数值孔径,表示光学系统收集和聚焦光线的能力。数值越高,聚光能力越好,行业消息显示,ASML最先进的高数值孔径EUV设备的数值孔径将从0.33提高到0.55,这意味着设备可以绘制更精细的电路图案。自2017年ASML的第一台量产的EUV光刻机正式推出以来,三星的7nm、5nm、3nm工艺,台积电的第二代7nm、5nm、3nm工艺的量产都是依赖于0.33数值孔径的EUV光刻机来进行生产。随着三星、台积电、英特尔3nm制程芯片的相继量产,目前这三大先进制程制造厂商都在积极投资2nm制程的研发,以满足未来高性能计算(HPC)等先进芯片需求,并在晶圆代工市场的竞争当中取得优势。英特尔方面,自2021年起就提出了IDM2.0战略。目前其还处于高资本支出投入期,各地投资扩产计划相继开出,并且先进制程研发投入加速推进。目前晶圆代工部门还处于亏损阶段。财报显示,英特尔晶圆代工业务去年的营业亏损较2022年扩大34.6%至70亿美元,营收同比下降31.2%至189亿美元。当时英特尔预计,代工业务的营业亏损会在今年达到峰值,2027年左右实现盈亏平衡。今年一季度英特尔代工业务实现营收44亿美元,同比下滑10%,营业亏损25亿美元。扩产方面,2023年以来,英特尔相继公布了在美国、欧洲和以色列兴建半导体制造工厂的计划,在各地政府的纷纷补助下,总投资金额高达千亿美元。制程推进方面,英特尔即将完成“四年五个制程节点”计划,其中Intel 7,Intel 4和Intel 3已实现大规模量产。这样来看,英特尔或许能在未来斩获更多订单。三星在光刻机获得方面亦早有计划。今年一月,ASML韩国公司总裁Lee Woo-kyung透露,期待2027年带来三星电子和ASML的合资企业新研发中心的高数值孔径 (NA) 极紫外 (EUV) 设备。据悉,这个新的半导体研究中心是韩国总统尹锡悦去年对荷兰进行国事访问期间组建的半导体联盟的成果,三星电子和荷兰设备公司ASML共同投资1万亿韩元在韩国建立该中心。该设施将成为 ASML 和三星电子工程师使用 EUV 设备进行先进半导体研发合作的场所。该中心建于京畿道华城市ASML新园区前,将配备能够实施亚2纳米工艺的先进高数值孔径EUV光刻设备。Lee Woo-kyung表示,已在ASML韩国华城新园区附近新获得了一块场地,将于明年开始建设。计划在竣工时引进[高数值孔径]设备,预计最晚会在2027年完成。另外,据三星官方消息,近期,三星执行董事长李在镕(Jay Y. Lee) 访问位于奥伯科亨 (Oberkochen) 的全球光学和光电子技术集团总部当时,会见了蔡司公司总裁兼总裁Karl Lamprecht以及其他公司高管,以深化与蔡司集团在下一代EUV和芯片技术方面的合作。会上,双方同意扩大EUV技术和尖端半导体设备研发方面的合作伙伴关系,以增强双方的合作关系在代工和存储芯片领域的业务竞争。公开资料显示,蔡司集团是全球唯一的极紫外(EUV)光系统供应商ASML Holding NV的光学系统唯一供应商。据悉,三星电子的目标是引领3纳米以下的微制造工艺技术,今年计划采用EUV光刻技术量产第六代10纳米DRAM芯片。未来,三星电子积极寻求到2025年实现2nm芯片商业化,到2027年实现1.4nm芯片商业化。
  • 2GL双光子灰度光刻技术成为消除台阶效应的光学加工解决方案
    斯图加特大学的Harald Giessen课题组研究人员使用Nanoscribe的双光子灰度光刻系统Quantum X加工出了具有优异光学性能的双层透镜(下方左图)。采用非球面面型设计的透镜对聚焦效率有明显提升,并且双层透镜对比单层透镜在时场上有明显提升(下方右图)。“我们设计、打印和优化了直径为300微米的空气间隔双透镜。优化后,双透镜的顶部透镜残余形状偏差小于100纳米,底部透镜残余形状偏差小于20纳米。我们利用USCF1951分辨率测试图表检查光学性能,发现分辨率达到645线对每毫米。” ---Harald Giessen课题组在实验中,研究人员引入了传统的双光子聚合技术(2PP)与先进的双光子灰度光刻技术(2GL)之间的比较。采用双光子灰度光刻技术加工出的透镜表面无台阶结构(step free),能够带来优异的光学性能。这是由于传统的双光子聚合技术中光斑大小不能全自动进行调节,导致加工出的透镜表面存在台阶结构,而这是微光器件中不希望看到的,因此即使多次对结构进行迭代优化,始终难以有满意的结果。双层透镜在USCF 1951标准的测试中结果高达645lp/mm,其中300微米口径的透镜PV值测量结果达到100nm,研究人员认为此数值有希望到达20nm。USAF-1951是目前唯一公认的能够对光学器件进行测量和量化的标准。尽管会受到系统中的镜头、匀光器、CMOS传感器等各组件性能的影响,也会有人眼识别带来的误差,但是透镜的性能瓶颈是能够明显看出的。测试结果是该透镜的分辨率达到645lp/mm,而在网上能搜索到的商用镜头的Z高数值为200lp/mm左右。也就是说这个数值代表了打印的透镜具有优异光学性能,适用于高要求的图像采集系统和显示系统。上图为使用共聚焦显微镜测试的PV值结果。这个数值反映了透镜设计值与测量值的误差,同时要参考透镜的口径进行评价,测量过程是对双层透镜进行单独测量,上方口径较大的300微米直径的透镜PV值为100nm,下方口径为162微米的透镜PV值为20nm,一般情况下,透镜的口径越大,PV值越难控制。同时,共聚焦测试出空间均方根表面粗糙度为4nm。4nm表面粗糙度和20nm PV值,这两个数值为双层透镜的645lp/mm分辨率提供了基础保证,也证明了双光子灰度光刻技术适用于加工超高精度微光学器件。双光子灰度光刻技术优势传统的双光子聚合技术(2PP) 对比其他加工技术的优势在于加工体素的悬空,可以一步打印出不用支架支撑的具有三维复杂结构的微纳器件,如钟摆结构和倒扣结构。这种比较简单的双光子聚合技术利用均一或变化缓慢的光斑在三维空间内逐层移动将结构加工出,这种技术加工出的结构就像金字塔一样具有一个个台阶,这是因为光斑大小没有随结构形状进行快速变化而产生的。基于传统双光子聚合技术,Nanoscribe公司推出了双光子灰度光刻技术(2GL)。该技术能够将悬空的光斑以1MHz的频率进行4096级调节,软件和硬件上都实现了全自动。结合灰度技术后,由于两个值不再受Z小加工体素的限制,而是依赖于光斑的变化速率与级数,打印结构的形状精度和表面粗糙度可以得到显著提升。双光子聚合技术和双光子灰度光刻技术的对比。左图为双光子聚合技术,右图为双光子灰度光刻技术Nanoscribe公司产品应用经理Benjamin Richter分别使用传统的双光子聚合技术(下图左侧)与双光子灰度光刻技术(下图右侧)加工出一个小姑娘模型,来验证台阶效应的消除。这简直是从低分辨率升级到了4K时代。在提升精度的同时,灰度技术还可以显著提升加工速度。4096级光斑大小调节能够以一层加工出灰阶位数为12bit的结构。Nanoscribe的QX平台系列设备比PPGT2的加工速度提升了1个数量级。 PMID: 36785392 DOI: 10.1364/OE.480472详情请咨询纳糯三维科技官方网站 nanoscribe-solutions.cn联系我们 china@nanoscribe.com德国总部中国子公司Hermann-von-Helmholtz-Platz6,76344 Eggenstein-Leopolds-hafen,Germany上海徐汇区桂平路391号B座1106A+49 721 9819 800china@nanoscribe.com
  • ASML首台0.55NA光刻机正式交付Intel
    近日,荷兰光刻机巨头ASML公司宣布,优先向Intel公司交付其新型高数值孔径(High NA EUV)的极紫外光刻机(可能是Twinscan EXE:5200)。根据目前公开可查到的信息,这台光刻机为新型高数值孔径极紫外光刻机,成本要超过3亿美元。体积有一整个卡车车箱大小,是目前最先进的光刻机。而这台光刻机的研发过程,整整持续了10年。英特尔是全球首家获得ASML 该最新型号的高数值孔径的极紫外光刻机的芯片巨头。目前,这台光刻机已从ASML荷兰总部发出,预计很快就可以交到英特尔手中。但这台光刻机要到2026年或2027年,才能真正用到商业芯片制造中。据了解,ASML新交付的高数值孔径光刻机,是NA 0.55的EUV光刻机,是初代EUV NA 0.33的进化版,其核心优点是: half pitch 从13nm—8nm,可以认为是分辨率有大幅提升,主要应用为中段MOL的金属互联,可能会用于GAA的前道。但缺点在于,景深DOF大幅下降,对wafer平整度和翘曲要求更高;field size也有下降,大的芯片要做图案缝合stitching或者改成chiplet小芯片。有消息称,ASML阿斯麦将在2024年生产最多10台新一代高NA(数值孔径) EUV极紫外光刻机,其中Intel就定了多达6台。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制