当前位置: 仪器信息网 > 行业主题 > >

无掩模激光直写光刻系统

仪器信息网无掩模激光直写光刻系统专题为您提供2024年最新无掩模激光直写光刻系统价格报价、厂家品牌的相关信息, 包括无掩模激光直写光刻系统参数、型号等,不管是国产,还是进口品牌的无掩模激光直写光刻系统您都可以在这里找到。 除此之外,仪器信息网还免费为您整合无掩模激光直写光刻系统相关的耗材配件、试剂标物,还有无掩模激光直写光刻系统相关的最新资讯、资料,以及无掩模激光直写光刻系统相关的解决方案。

无掩模激光直写光刻系统相关的资讯

  • 新型激光直写无掩模光刻机在孚光精仪发布问世
    孚光精仪在上海,天津同时发布一款新型激光直写式雾无掩模光刻系统。这款无掩模光刻机是一款高精度的激光直写光刻机。这套无掩模光刻机具有无掩模技术的便利,大大提高影印和新产品研发的效率,节省时间,是全球领先的无掩模光刻系统。这款激光直写无掩模光刻机直接用375nm或405nm紫外激光把图形写到光胶衬底上。 激光直写无掩模光刻系统特色尺寸:925x925x1600mm内置计算机控制接口激光光源:375nm或405nm视频辅助定位系统自动聚焦设置 详情浏览:http://www.f-opt.cn/guangkeji.html 激光直写无掩模光刻机参数线性写取速度:500mm/s位移台分辨率:100nm重复精度: 100nm晶圆写取面积:1—6英寸衬底厚度:250微米-10毫米激光点大小:1-100微米准直精度:500nm Email: info@felles.cn 或 felleschina@outlook.com Web: www.felles.cn (激光光学精密仪器官网) www.felles.cc (综合性尖端测试仪器官网) www.f-lab.cn (综合性实验室仪器官网) Tel: 021-51300728, 4006-118-227
  • 微纳光刻好助手!小型台式无掩膜直写光刻系统MicroWriter ML3
    随着国内各学科的发展和产业的升级,相关的科研院所和企事业单位对各种微纳器件光刻加工的需求日益增多。然而,这些微纳器件光刻需求很难被传统的掩模光刻设备所满足,主要是因为拥有这类的光刻需求的用户不仅需要制备出当前的样品,还需要对光刻结构进行够迅速迭代和优化。为了满足微纳器件对光刻的需求,Quantum Design中国推出了小型台式无掩膜直写光刻系统MicroWriter ML3作为微纳器件光刻的解决方案。与传统的掩模光刻相比,MicroWriter ML3根据用户计算机中设计的图形在光刻胶上制备出相应的结构,节省了制备光刻板所需要的时间和经费,可以实现用户对光刻结构快速迭代的需求。此外,MicroWriter ML3 可用于各类正性和负性光刻胶的曝光,最高光刻精度可达0.4 μm,套刻精度±0.5 μm,最高曝光速度可达180mm2/min。目前,MicroWriter ML3在国内的拥有量超过150台,被用于各类微纳器件的光刻加工。 人工智能领域器件制备人工智能相关的运算通常需要进行大量的连续矩阵计算。从芯片的角度来说,连续矩阵运算主要需求芯片具有良好的乘积累加运算(MAC)的能力。可以说,MAC运算能力决定了芯片在AI运算时的表现。高效MAC运算可以由内存内运算技术直接实现。然而,基于的冯诺依曼计算架构的芯片在内存和逻辑运算之间存在着瓶颈,限制了内存内的高速MAC运算。理想的AI芯片构架不仅要有高效的内存内运算能力,还需要具有非易失性,多比特存储,可反复擦写和易于读写等特点。复旦大学包文中教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于单层MoS2晶体管的两晶体管一电容(2T-1C)单元构架[1]。经过实验证明,该构架十分适用于AI计算。在该构架中,存储单元是一个类似1T-1C的动态随机存储器(DRAM),其继承了DRAM读写速度快和耐反复擦写的优点。此外,MoS2晶体管极低的漏电流使得多层级电压在电容中有更长的存留时间。单个MoS2的电学特性还允许利用电容中的电压对漏电压进行倍增,然后进行模拟计算。乘积累加结果可以通过汇合多个2T-1C单元的电流实现。实验结果证明,基于此构架的芯片所训练的神经网络识别手写数字可达到90.3%。展示出2T-1C单元构架在未来AI计算领域的潜力。相关工作发表在《Nature Communication》(IF=17.694)。 图1. 两晶体管一电容(2T-1C)单元构架和使用晶圆尺寸的MoS2所制备的集成电路。(a)使用化学气相沉积法(CVD)批量制备的晶圆尺寸的MoS2。(b)CVD合成的MoS2在不同位置的Raman光谱。(c)在2英寸晶圆上使用MicroWriter ML3制备的24个MoS2晶体管的传输特性。(d)MicroWriter ML3制备的2T-1C单元显微照片。图中比例尺为100 μm。(e)2T-1C单元电路示意图,包括储存和计算模块。(f)2T-1C单元的三维示意图,其中包括两个MoS2晶体管和一个电容组件。(g)2T-1C单元阵列的电路图。(h)典型卷积运算矩阵。 生物微流控领域器件制备酿酒酵母菌是一种具有高工业附加值的菌种,其在真核和人类细胞研究等领域也有着非常重要的作用。酿酒酵母菌由于自身所在的细胞周期不同,遗传特性不同或是所处的环境不同可展现出球形单体,有芽双体或形成团簇等多种形貌。因此获得具有高纯度单一形貌的酿酒酵母菌无论是对生物学基础性研究还是对应用领域均有着非常重要的意义。澳大利亚麦考瑞大学Ming Li课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备了一系列矩形微流控通道[2]。在制备的微流控通道中,通过粘弹性流体和牛顿流体的共同作用对不同形貌的酿酒酵母菌进行了有效的分类和收集。借助MicroWirter ML3中所采用的无掩模技术,课题组可以轻易实现对微流控传输通道长度的调节,优化出对不同形貌酵母菌进行分类的最佳参数。相关工作结果在SCI期刊《Analytical Chemistry》(IF=8.08)上发表。图2.在MicroWriter ML3制备的微流控通道中利用粘弹性流体对不同形貌的酿酒酵母菌进行微流控连续筛选。图3.在MicroWriter ML3制备的微流控流道中对不同形貌的酿酒酵母菌的分类和收集效果。(a)为收集不同形貌酿酒酵母菌所设计的七个出口。(b)不同形貌酵母菌在通过MicroWriter ML3制备的流道后与入口处的对比。(c)MicroWriter ML3制备的微流控连续筛选器件对不同形貌的酵母菌的筛选效果。从不同出口处的收集结果可以看出,单体主要在O1出口,形成团簇的菌主要O4出口。(d)MicroWriter ML3制备的微流控器件对不同形貌的酿酒酵母菌的分类结果,单体(蓝色),有芽双体(黄色)和形成团簇(紫色)。(e)和(f)不同出口对不同形貌的酿酒酵母菌的分离和收集结果的柱状图。误差棒代表着三次实验的误差结果。 医学检测领域器件制备在新冠疫情大流行的背景下,从大量人群中快速筛查出受感染个体对于流行病学研究有着十分重要的意义。目前,新冠病毒诊断采用的普遍标准主要是基于分析逆转录聚合酶链反应,可是在检测中核酸提取和扩增程序耗时较长,很难满足对广泛人群进行筛查的要求。复旦大学魏大程教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于石墨烯场效应晶体管(g-FET)的生物传感器[3]。该传感器上拥有Y形DNA双探针(Y-双探针),可用于新冠病毒的核酸检测分析。该传感器中的双探针设计,可以同时靶向SARS-CoV-2核酸的ORF1ab和N基因,从而实现更高的识别率和更低的检出极限(0.03份μL&minus 1)。这一检出极限比现有的核酸分析低1-2个数量级。该传感器最快的核酸检测速度约为1分钟,并实现了直接的五合一混合测试。由于快速、超灵敏、易于操作的特点以及混合检测的能力,这一传感器在大规模范围内筛查新冠病毒和其他流行病感染者方面具有巨大的前景。该工作发表在《Journal of the American Chemical Society》(IF=16.383)。 图4. 利用MicroWriter ML3制备基于g-FET的Y形双探针生物传感器。(a)Y形双探针生物传感器进行SARS-CoV-2核酸检测的流程图。(b)选定的病毒序列和探针在检测SARS-CoV-2时所靶向的核酸。ORF1ab: 非结构多蛋白基因 S: 棘突糖蛋白基因 E: 包膜蛋白基因 M: 膜蛋白基因 N: 核衣壳蛋白基因。图中数字表示SARS-CoV-2 NC_045512在GenBank中基因组的位置。(c)经过MicroWriter ML3光刻制备的生物传感器的封装结果。图中的比例尺为1 cm。(d)通过MicroWriter ML3制备的石墨烯通道的光学照片。(e)在石墨烯上的Cy3共轭Y型双探针。图中的比例尺为250 μm。 二维材料场效应管器件制备石墨烯的发现为人类打开了二维材料的大门,经历十多年的研究,二维材料表现出的各种优良性能依然吸引着人们。然而,在工业上大规模应用二维材料仍然存在着很多问题,所制成的器件不能符合工业标准。近日,复旦大学包文中教授课题组通过利用机器学习 (ML) 算法来评估影响工艺的关键工艺参数MoS2顶栅场效应晶体管 (FET) 的电气特性[4]。晶圆尺寸的器件制备的优化是利用先利用机器学习指导制造过程,然后使用小型台式无掩膜直写光刻系统MicroWriter ML3进行制备,最终优化了迁移率、阈值电压和亚阈值摆幅等性能。相关工作结果发表在《Nature Communication》(IF=17.694)。图5. MoS2 FETs的逻辑电路图。(a),(b),(c)和(d)各类电压对器件的影响。(e)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的正反器和(f)相应实验结果(g)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的加法器和(h)相应的实验结果。图6. 利用MoS2 FETs制备的模拟,储存器和光电电路。(a)使用MicroWriter ML3无掩膜光刻机制备的环形振荡器和(b)相应的实验结果。(c)通过MicroWriter ML3制备的基于MoS2 FETs制备的存储阵列和(d-f)相应的实验结果。(g)利用MicroWriter ML3制备的光电电路和(h-i)相应的表现结果。图7. 使用小型台式无掩膜直写光刻系统MicroWriter ML3在晶圆上制备MoS2场效应管。(a)MicroWriter ML3在两寸晶圆上制备的基于MoS2场效应管的加法器。(b),(c)和(d)在晶圆上制备加法器的运算结果。 钙钛矿材料柔性器件制备质子束流的探测在光学基础物理实验和用于癌症治疗的强子疗法等领域是十分重要的一项技术。传统硅材料制备的场效应管装置由于价格昂贵很难被大规模用于质子束流的探测。塑料闪烁体和闪烁纤维也可以被用于质子束流的探测。可是基于上述材料的设备需要复杂的同步和校正过程,因此也很难被大规模推广应用。在最近十年间科学家把目光投向了新材料,为了找出一种同时具有出色的力学性能和造价低廉的材料,用以大规模制质子束流探测设备。钙钛矿材料近来被认为是制备质子束流探测器的理想材料。首先,钙钛矿材料可以通过低温沉积的方法制备到柔性基底上。第二,该材料的制造成本相对较低。钙钛矿材料已被用于探测高能光子,阿尔法粒子,快中子和热中子等领域。对于利用钙钛矿材料制备的探测器探测质子束的领域尚属空白。近日,意大利博洛尼亚大学Ilaria Fratelli教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出用于质子束探测的3D-2D混合钙钛矿柔性薄膜检测器[5]。在5MeV质子的条件下,探测器的探测束流范围为从4.5 × 105 到 1.4 × 109 H+ cm&minus 2 s&minus 1,可连续检测的辐射最高敏感度为290nCGy&minus 1mm&minus 3,检测下限为72 μGy s&minus 1。该工作结果发表在学术期刊《Advanced Science》(IF=17.521)。图8. MicroWriter ML3在PET柔性基板上制备的3D-2D钙钛矿薄膜器件。(A)MAPbBr3 (3D) 和(PEA)2PbBr4 (2D)钙钛矿材料的结构示意图。(B)通过MicroWriter ML3无掩模激光直写机制备出的检测器,图中标尺长度为500 μm。(c)3D-2D混合钙钛矿材料的低掠射角XRD结果。(d)3D-2D混合钙钛矿材料的AFM表面形貌图。图9. 3D-2D钙钛矿材料的电学和光电学方面的性能。(A)由MicroWriter ML3无掩模光刻机制备柔性器件。(B)通过MicroWriter ML3制备的柔性器件在不同弯曲程度条件下的电流-电压曲线图。(C)3D-2D钙钛矿材料柔性器件的PL光谱结果。(D)3D-2D钙钛矿材料柔性器件的紫外-可见光光谱。参考文献[1] Y. Wang, et al. An in-memory computing architecture based on two-dimensional semiconductors for multiply-accumulate operations. Nature Communications, 12, 3347 (2021).[2] P. Liu, et al. Separation and Enrichment of Yeast Saccharomyces cerevisiae by Shape Using Viscoelastic Microfluidics. Analytical Chemistry, 2021, 93, 3, 1586–1595.[3] D. Kong, et al. Direct SARS-CoV-2 Nucleic Acid Detection by Y-Shaped DNA Dual-Probe Transistor Assay. Journal of the American Chemical Society, 2021, 143, 41, 17004.[4] X. Chen, et al. Wafer-scale functional circuits based on two dimensional semiconductors with fabrication optimized by machine learning. Nature Communications, 12, 5953 (2021).[5] L. Basirico, et al. Mixed 3D–2D Perovskite Flexible Films for the Direct Detection of 5 MeV Protons. Advanced Science, 2023,10, 2204815. 小型台式无掩膜直写光刻系统MicroWriter ML3简介小型台式无掩膜直写光刻系统MicroWriter ML3由英国剑桥大学卡文迪许实验室主任/英国皇家科学院院士Cowburn教授根据其研究工作的需要而专门设计开发的科研及研发生产光刻利器。 图10. a)小型台式无掩膜直写光刻系统MicroWriter ML3。MicroWriter ML3 b)在正胶上制备线宽为400 nm的结构,c)正胶上制备的电极结构,d)在SU8负胶上制备的高深宽比结构和e)灰度微结构。 MicroWriter ML3的优势:☛ 实验成本低:相比于传统光刻机,该光刻系统无需掩膜板,同时它也可以用来加工掩膜板,年均可节省成本数万元;☛ 实验效率高:通过在计算机上设计图案就可轻松实现不同的微纳结构或器件的加工,同时具有多基片自动顺序加工功能;☛ 光刻精度高:系统具有多组不同分辨率的激光加工模块(0.4 μm,0.6 μm, 1 μm,2 μm, 5 μm),且均可通过软件自由切换;☛ 加工速度快:最高可实现180 mm2/min的快速加工;☛ 具有3D加工能力:256级灰度,可实现Z方向的不同深浅的加工;☛ 适用范围广:可根据光刻需求的不同,配备365 nm,385 nm和405 nm波长光源或安装不同波长双光源;☛ 使用成本低:设备的采购,使用和维护成本低于常规的光刻系统。
  • “微莲花,微祝福” | 无掩膜激光直写光刻仪3D灰度曝光应用
    近年来,实现微纳尺度下的3D灰度结构在包括微机电(MEMS)、微纳光学及微流控研究领域内备受关注,良好的线性侧壁灰度结构可以很大程度上提高维纳器件的静电力学特性,信号通讯性能及微流通道的混合效率等。相比一些获取灰度结构的传统手段,如超快激光刻蚀工艺、电化学腐蚀或反应离子刻蚀等,灰度直写图形曝光结合干法刻蚀可以更加方便地制作任意图形的3D微纳结构。该方法中,利用微镜矩阵(DMD)开合控制的激光灰度直写曝光表现出更大的操作便捷性、易于设计等特点,不需要特定的灰度色调掩膜版,结合软件的图形化设计可以直观地获得灰度结构[1]。由英国皇家科学院院士,剑桥大学Russell Cowburn教授主导设计研制的小型无掩膜激光直写光刻仪(MicroWriter, Durham Magneto Optics),是一种利用图形化DMD微镜矩阵控制的直写曝光光刻设备。该设备可以在无需曝光掩膜版的条件下,根据用户研究需要,直接在光刻胶样品表面上照射得到含有3D灰度信息的曝光图案,为微流控、MEMS、半导体、自旋电子学等研究领域提供方便高效的微加工方案。此外,它还具备结构紧凑(70cm × 70cm X×70cm)、高直写速度,高分辨率(XY ~ 0.6 um)的特点。采用集成化设计,全自动控制,可靠性高,操作简便。目前在国内拥有包括清华大学、北京大学、中国科技大学、南京大学等100余家应用单位,受到广泛的认可和好评。结合MicroWriter的直写曝光原理,通过软件后台控制DMD微镜矩阵的开合时间,或结合样品表面的曝光深度,进而可以实现0 - 255阶像素3D灰度直写。为上述相关研究领域内的3D线性灰度结构应用提供了便捷有效的实验方案。图1 利用MicroWriter在光刻胶样品表面上实现的3D灰度直写曝光结果,其中左上、左下为灰度设计原图,右上、右下为对应灰度曝光结果,右上莲花图案实际曝光面积为380 × 380 um,右下山水画图案实际曝光面积为500 × 500 um 图2 利用MicroWriter实现的3D灰度微透镜矩阵曝光结果,其中SEM形貌可见其优异的平滑侧壁结构 厦门大学萨本栋微纳米研究院的吕苗研究组利用MicroWriter的灰度直写技术在硅基表面实现一系列高质量的3D灰度图形转移[2],研究人员通过调整激光直写聚焦深度以及优化离子刻蚀工艺,获得具有良好侧壁平滑特征的任意3D灰度结构,其侧壁的表面粗糙度低于3 nm,相较此前报道的其他方式所获得的3D灰度结构,表面平滑性表现出显著的优势。MicroWriter的灰度曝光应用为包括MEMS,微纳光学及微流控等领域的研究提供了优质且便捷的解决方案。图3 利用MicroWriter激光直写在硅基表面实现图形转移过程示意图图4 利用MicroWriter激光直写曝光在硅基表面转移所得的3D灰度结构的实际测量结果与理论设计比较,其中图a中红色散点表示实际图形结构的纵向高度,黑色曲线为图案设计结果;图b中左为设计图形的理论各点高度,右为实际转移结果的SEM形貌结果,其中标准各对应点的实际高度。综上可以看出其表现出优异的一致性图5 利用AFM对抛物面硅基转移结构的测量与分析,可以看到起侧壁的表面平滑度可以小至3 nm以下,表现出优异的侧壁平滑性 利用MicroWriter激光直写曝光技术,不仅可以直接制备任意形状的硅基微纳灰度结构,而且可以将制备的3D结构作为模具、电镀模板或牺牲层来应用在其他材料上,如聚合物、金属或玻璃等。这种直观化的激光直写技术在诸多维纳器件研究领域中表现出显著的应用优势和开发前景。 参考文献:[1] Hybrid 2D-3D optical devices for integrated optics by direct laser writing. Light Sci. Appl. 3, e175 (2014)[2] Fabrication of three-dimensional silicon structure with smooth curved surfaces. J. Micro/Nanolith. MEMS MOEMS 15(3), 034503 相关参考:英国皇家科学院院士、剑桥大学教授Russell Cowburn介绍:https://www.phy.cam.ac.uk/directory/cowburnr
  • 重磅!俄罗斯计划研发无掩模X射线光刻机
    4月2日消息,据外媒报道,俄罗斯莫斯科电子技术学院(MIET)已经接下了贸工部的6.7亿卢布资金(约合5100万元人民币),准备研发制造芯片的光刻机,并号称该款光刻机工艺可以达到EUV级别,但技术原理完全不同,他们研发的是基于同步加速器和/或等离子体源的无掩模X射线光刻机。文章内容显示:“MIET已经在无掩模EUV光刻领域取得了进展,包括与国内其他科研机构和科学家团体联合开展的研究。该项目还将涉及Zelenograd公司ESTO和Zelenograd同步加速器,现在是国家研究中心库尔恰托夫研究所的技术储存综合体(TNK)Zelenograd。“基于在该国运行和发射的同步加速器,特别是在TNKZelenograd的同步加速器以及国内等离子源的基础上,创造技术和设备,将使处理具有设计标准的半导体晶片成为可能28nm、16nm及以下,”招标文件包含这项研究工作(研发)的要求。“无掩模X射线纳米光刻技术和正在开发的设备在国内和世界上都没有类似物。”据了解,X射线因为波长很短,几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于mems技术当中。目前国内有两个地方可以做X射线光刻,一个是合肥同步辐射,一个是北京同步辐射。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。目前最先进的光学光刻是EUV,极紫外光刻。我们也称之为软X射线光刻,既有光学光刻的特征,也有X射线光刻的特征。极紫外波长很短,没有透镜能够放大缩小,所以只能采用凹面镜进行反射式缩放。而掩模版也采用反射式,曝光方式也是扫描,整个系统在真空下运行。公开资料显示,承接了光刻机研发计划的“MIET”是俄罗斯高科技领域领先的技术大学。通过将现代实验室、对教育过程的全新认识以及教育、科学和工业进行独特整合,MIET成为微电子和纳米电子、电信和信息技术领域培训专家的领导者。该大学是俄罗斯大学发明活动排名中最强大的三所大学之一,是莫斯科国立大学排名中排名前五的技术大学之一,也是著名的英国出版物《泰晤士报》排名前20位的俄罗斯大学之一高等教育。实际上俄罗斯早已在芯片制造业上遭到了美国制裁。俄国内唯二半导体企业Ангстрем公司原计划通过AMD购买必要工艺设备,但这笔交易由于2016年Ангстрем公司上了美国商务部制裁名单而中止,其在泽列诺格勒的工厂因为制程工艺落后无法获得足够订单长期处于亏损状态债务超过1000亿卢布,2019年其最大债权方VEB.RF(俄罗斯国家开发集团)对其进行破产重组。当然俄另一家芯片制造商Микрон因祸得福获得了利用Ангстрем生产车间改造28纳米制程新生产线的机会,为其节省了10亿美元。俄国内半导体消费市场不到全球份额2%,如果没有政府推动,针对这样小市场的产业需求去研发制造需要投入几百亿美元成本的DUV\EUV光刻机是经济上极不合理的(全世界产业市场也就那么大)。另一方面俄军用、航天市场对芯片需求的批量不大,但种类多,需要经济上合理的小批量、多品种的产能。适用于大批量生产的投影式光刻机不能满足这种产业需求。俄国内有两条使用8英寸晶圆的生产线,分别属于АО «Микрон»和ООО «НМ-ТЕХ» 。6英寸晶圆的四条生产线,分属АО «Микрон», АО «Ангстрем», АО «ВЗПП-Микрон»和НИИСИ РАН,前面三个都属于上世纪90年代至本世纪初技术水平,值得注意的是最后那个用的是新的无掩膜直写。2014年荷兰Mapper公司与俄RUSNANO公司合资在莫斯科组建一家生产无掩膜光刻机核心组件微机电光学元件的工厂。该工厂生产的电子光学元件可以将一束电子束分成13000束电子束,并对每束电子束进行控制,从而极大提高了无掩膜电子束光刻机的生产效率,使这类光刻机用于设计阶段样品制造外,更加适应小批量生产的需求。Mapper公司多束无掩模光刻机,可以用于32纳米制成,其核心部件即由俄罗斯制造。更早时候,RUSNANO投资了瓦迪姆.拉霍夫斯基教授团队研制的纳米级定位器,使用该项技术可用于加工10纳米精度的非球面光学元件(用于紫外和X波段)。而这位瓦迪姆.拉霍夫斯基,是位大牛。1992年他与苏联时期在全联盟计量科学研究所工作的同事创立一家小公司接一些为苏联时期电子产品生产零件的零散订单。在生产过程中,他们被掩膜缺陷反复折腾,随着制成工艺缩小,就会出现新的问题,之前提出的解决方法都不再有效。而所需要的投资也越来越高,单是掩膜成本就从0.5微米时代的400美元增加到如今的70万美元以上。这时候拉霍夫斯基想到如果用全息生成图像的方法就可以避免掩膜缺陷对产品质量造成影响,据估计,即便缺陷占据全息掩膜面积1%,实际创建的图像质量也不会受到影响。掩膜局部缺陷对成像质量的影响降低了9-10个数量级。这同样可以延长掩膜的使用寿命和降低透镜成本(只需要简单的透镜来照射面罩),甚至利用这一技术可以实现3D光刻。但根据全息图像计算全息掩膜时,他们遇到了数学难题,为此他找到了现代渐近衍射理论的创始人弗拉基米尔安德烈耶维奇博罗维科夫教授,教授为他提供了计算方法。然而全息掩膜的计算量仍然需要超级计算机才能完成。之后他的开发团队致力于简化算法,直至能够在微机上实现,同时他们开发了一个软件包,用以生成全息掩膜(在此过程中他们发现如果用平面波再现全息图将使掩膜的拓扑结构变得无法制造,为此他们通过数学方法解决了会聚球面波的难题)。最初他找到RUSNANO,希望获得对其研发的全息投影光刻技术的投资。但RUSNANO的态度令他感到失望。之后这位老哥找到SEMI欧洲分会主席,于是他获得了瑞士Empa资金支持,并在2015年成立了Nanotech SWHL GmbH公司。按照这位大牛的观点,俄政府领导人熟悉大工业,但不熟悉技术密集型产业,缺乏苏联政府那样对有产业潜力的先进技术孵化投资的远见。而此次外媒报道的无掩膜X射线光刻机虽然无法满足大批量生产的需求。不过2020至2021年9月份,俄整个电子工业只得到2660亿卢布拨款,一座28纳米生产线和配套晶圆厂至少也要投资上万亿卢布,投入这么大一笔费用,俄国内市场也难以提供足够订单维持其运转。光刻机、芯片制造从来不是自古华山一条道,解决不同需求有不同的技术路径(例如大批量生产方面压印法也是比较有发展前景的工艺)。
  • 无掩膜直写光刻系统助力范德华异质结构器件制备,室温下展现隧穿磁阻率!
    论文题目:Room-Temperature and Tunable Tunneling Magnetoresistance in Fe3GaTe2‑ Based 2D van der Waals Heterojunctions发表期刊:ACS Applied Materials & Interfaces IF: 9.5DOI: https://doi.org/10.1021/acsami.3c06167【引言】 基于范德华 (vdW) 异质结构的磁隧道结 (MTJs)具有原子尺度上清晰且锐利的界面,是下一代自旋电子器件的重要材料。传统的Fe3O4、NiFe和Co等材料所制成的MTJ相关器件在10-80K温度下的磁阻率仅为0.2%-3.2%,主要是因为在制备过程中界面处会受到不可避免的损伤。寻找拥有清晰且完整界面的垂直磁各向异性(PMA)的铁磁性晶体就成为了发展MTJ相关器件的关键。二维过渡金属二硫属化物是一种具有清晰的界面二维铁磁材料,近年来成为制备MTJ相关器件的明星材料。然而,在已报道的研究中,尚未有在室温下还展现出一定隧穿磁阻率的相关研究。【成果简介】 近日,华中科技大学相关团队利用小型台式无掩膜直写光刻系统- MicroWriter ML3制备出了基于垂直范德华结构的室温条件下的MTJ器件。器件的上下电极为Fe3GaTe2,中间层为WS2。非线性I-V曲线显示了Fe3GaTe2/WS2/Fe3GaTe2异质结构的隧穿输运行为。在10K的温度下,其隧穿磁阻率可达213%,自旋极化率可达72%。在室温条件下,所制备器件的隧穿磁阻率仍可达11%,此外,隧穿磁阻率可以通过外加电流进行调控,调控范围为-9%-213%,显示出了自旋滤波效应。相关工作以《Room-Temperature and Tunable Tunneling Magnetoresistance in Fe3GaTe2‑ Based 2D van der Waals Heterojunctions》为题在SCI期刊《ACS Applied Materials & Interfaces》上发表。 文中所使用的小型台式无掩膜直写光刻系统- MicroWriter ML3具有结构小巧紧凑(70 cm x 70 cm x 70 cm),无掩膜直写系统的灵活性,还拥有高直写速度,高分辨率等特点,为本实验提供了方便高效的器件制备方案。 小型台式无掩膜直写光刻系统- MicroWriter ML3【图文导读】图1. Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件结构及表征。(a)Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件结构的示意图。(b)Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件各部分的AFM表征。(c)MTJ器件的刨面图。图2. Fe3GaTe2霍尔器件的磁传输特性。(a)利用MicroWriter ML3无掩模光刻机制备的Fe3GaTe2霍尔器件的AFM表征结果。(b)Rxx随温度的变化。(c)不同温度下,Rxy随磁场的变化。图3. Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件的电磁输运特性。(a)在10K和300K的温度下的I-V曲线。(b)在温度为10K和电流为10nA的条件下,电阻和隧穿磁阻率随磁场的变化。图4. 在10K到300K的温度范围内的磁输运测量结果。(a)隧穿磁阻率在不同温度下的结果。(b)隧穿磁阻率随温度的变化。(c)自旋极化率随温度的变化。图5. 论文中制备的器件与其他论文中器件的自旋极化率比较。【结论】 论文中,华中科技大学相关团队利用小型台式无掩膜直写光刻系统- MicroWriter ML3 制备了基于Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件。该器件在10K的温度下,隧穿磁阻率高达213%,自旋极化率为72%。与已报道的MTJ器件相比,论文中所制备的器件在室温下的隧穿磁阻率仍可达11%,为自旋电子器件的发展提供了一种可能。此外,在论文中还可以看出,小型台式无掩膜直写光刻系统-MicroWriter ML3得益于其强大的光刻和套刻能力,可以十分方便地实现实验中所设计图形的曝光,是各学科科研中制备各类微纳器件的得力助手。
  • 成果速递 | 小型无掩膜光刻直写系统(MicroWriter)在复旦大学包文中教授课题组的最新研究应用
    文章导读复旦大学微电子学院包文中教授课题组主要的研究领域包括二维层状材料的能带调控、器件工艺及应用,包括二硫化钼(MoS2),黑磷等。近日,其课题组利用小型台式无掩膜光刻直写系统(MicroWriter ML3)在新型二维层状材料MoS2的器件制备、转移和应用等方面取得了一系列瞩目的研究成果。 引言随着电子信息产业的高速发展,集成电路的需求出现了井喷式的增长。使得掩膜的需求急剧增加,目前制作掩膜的主要技术是电子束直写,但该制作效率非常低下,并且成本也不容小觑,在这种背景下人们把目光转移到了无掩膜光刻技术。英国Durham Magneto Optics公司致力于研发小型台式无掩膜光刻直写系统(MicroWriter ML3),为微流控、MEMS、半导体、自旋电子学等研究领域提供方便高效的微加工方案。传统的光刻工艺中所使用的铬玻璃掩膜板需要由专业供应商提供,但是在研发过程中,掩膜板的设计通常需要根据实际情况多次改变。无掩膜光刻技术通过以软件设计电子掩膜板的方法,克服了这一问题。与通过物理掩膜板进行光照的传统工艺不同,激光直写是通过电脑控制DMD微镜矩阵开关,经过光学系统调制,在光刻胶上直接曝光绘出所要的图案。同时其还具备结构紧凑(70cm x 70cm x 70cm)、高直写速度,高分辨率(xy:正文包文中教授课题组利用小型台式无掩膜光刻直写系统(MicroWriter ML3)在新型二维层状材料MoS2的器件制备、转移和应用等方面取得了一系列瞩目的研究成果。(一) SMALL: 高性能的具备实际应用前景的晶圆MoS2晶体管 原子层的过渡金属二硫化物(TMD)被认为是下一代半导体器件的重要研究热点。然而,目前大部分的器件都是基于层间剥离来获取金属硫化物层,这样只能实现微米的制备。在本文中,作者提出一种利用化学气相沉积(CVD)制备多层MoS2薄层,进而改善所制备器件的相关性能。采用四探针法测量证明接触电阻降低一个数量。进一步,基于该法制备的连续大面积MoS2薄层,采用小型无掩膜光刻直写系统(MicroWriter ML3)构筑了栅场效应晶体管(FET)阵列。研究表明其阈值电压和场效应迁移率均有明显的提升,平均迁移率可以达到70 cm2v-1s-1,可与层间剥离法制备的MoS2 FET好结果相媲美。本工作创制了一种规模化制备二维tmd功能器件和集成电路应用的有效方法。 图1. (a-e) 利用CVD法制备大面积多层MoS2的原理示意及形貌结果。(g, h, i, j) 单层MoS2边界及多层MoS2片层岛的AFM测试结果,拉曼谱及光致发光谱结果 图2. 利用无掩膜激光直写系统(MicroWriter)在MoS2薄层上制备的多探针(二探针/四探针)测量系统,以及在不同条件下测量的接触电阻和迁移率结果。证明所制多层mos2的平均迁移率可以达到70 cm2v-1s-1 图3. 利用无掩膜光刻直写系统(MicroWriter)制备的大面积规模MoS2 FET阵列,及其场效应迁移率和阈值电压的分布性测量结果,证明该规模MoS2 FET阵列具备优异且稳定的均一特性 (二) Nanotechnology: 用于高性能场效应晶体管的晶圆可转移多层MoS2的制备 利用化学气相沉积(CVD)制备半导体型过渡金属二硫化物(TMD)是一种制备半导体器件的新途径,然而实现连续均匀的多层TMD薄膜制备仍然需要克服特殊的生长动力学问题。在本文中,作者利用多层堆叠(layer-by-layer)及转移工艺,制备出均匀、无缺陷的多层MoS2 薄膜。同时,利用无掩膜光刻直写系统(MicroWriter)在其基础上制备场效应晶体管(FET)器件。分别实现1层、2层、3层和4层MoS2 FRT的制备,并深入研究不同条件下器件的迁移率变化,终发现随着MoS2 堆叠层数的增加,电子迁移率随之增加,但电流开关比反而减小。综合迁移率和电流开关变化,2层/3层MoS2 FET是优设计器件。此外,双栅结构也被证明可以改善对多层mos2通道的静电控制。 图4 (a) 多层MoS2 结构的制备/转移流程示意;(b) 单层/双层MoS2 薄膜的光学形貌;(c) 双层MoS2 薄膜的afm表面形貌结果;(d) 单层/双层MoS2 薄膜的拉曼谱结果 图5 (a) 背栅MoS2 FET阵列制备流程示意;(b) 利用无掩膜激光直写系统(MicroWriter)制备的MoS2 FET器件的表面光学形貌(利用MicroWriter特有的虚拟掩膜对准技术(VMA),可以高效直观地在感兴趣区域实现图形曝光);(c-f) 不同结构的MoS2 FET器件的输出特性及转移特性测量结果 图6 (a) 利用MicroWriter在Si/SiO2晶圆上制备的大范围MoS2 FET器件阵列,其中包含1层和2层MoS2;(b) 相应阵列区域的迁移率和阈值电压分布结果,证明其优异的均一特性图7 (a) 双栅MoS2器件的结构原理;(b) 利用无掩膜激光直写系统(MicroWriter)制备的大面积双栅MoS2器件的形貌结果;(c, d) 2层MoS2双栅器件的电学测量结果。相关参考:1. high-performance wafer-scale mos2 transistors toward practical application. small 2018, 18034652. wafer-scale transferred multilayer mos2 for high performance field effect transistors. nanotechnology, 2019, 30,174002
  • 再交付2套!小型台式无掩膜直写光刻系统顺利落户,无需掩膜版,更高效!
    近日,由英国科学院院士Russell Cowburn教授团队研制的小型台式无掩膜直写光刻系统(Durham Magneto Optics, MicroWriter ML3)分别落户中科院沈阳金属所和合肥师范学院,将助力国内各科研院所在新型材料加工、微纳电子、光机电、微流控等诸多重点研究领域取得近一步发展。小型台式无掩膜直写光刻系统(MicroWriter ML3)进入国内科研领域已有十年时间,在国内约有200台设备安装落户。凭借小巧紧凑的结构(70 cm x 70 cm x 70 cm)、友好的操作系统、简单的维护需求、超高的直写速度,特别是无需掩膜版即可直写曝光的特点极大地优化了设计成本和研究效率,深受广大科研用户的喜爱。在小型台式无掩膜直写光刻系统MicroWriter优秀表现和Quantum Design中国全博士售后工程师团队的努力下,清华大学、北京大学、中国科技大学、南京大学、复旦大学、中科院等重点高校和研究机构已复购多台小型台式无掩膜直写光刻系统MicroWriter,成为MicroWriter的“回头客”。图1中科院沈阳金属所安装的配备0.4 μm镜头的MicroWriter旗舰型无掩膜光刻机 近日,中科院沈阳金属所成功安装了第一套小型台式无掩膜直写光刻系统MicroWriter ML3。结合新硬件配置,该系统可以实现0.4 μm的极限分辨率,同时拥有包括0.4 μm、0.6 μm、1 μm、2 μm和5 μm五种特征分辨率镜头,可以实现不同精度下的快速曝光应用。结合无掩膜版图设计,科研人员可以随时尝试修改曝光图形,并可以通过设备特有的虚拟掩膜(Visual Mask aligner)功能实现实时对准观测(如图2所示),极大地提高了科研工作的时效性和便捷性。图2. (左)虚拟掩膜对准的实时界面(蓝色区域是要曝光的电极图案)及(右)终曝光显影结果图3. 0.6 μm宽度的线条阵列曝光结果及局部细节图4. 0.4 μm孔径的点阵曝光结果及局部细节同时,合肥师范学院根据自身教学与科研的需要选择了小型台式无掩膜直写光刻系统Baby Plus型号。相比于功能全面的MicroWriter旗舰机型,Baby Plus着重于客户的基本需求。Baby Plus配备有1 μm和5 μm两个精度的镜头,可以满足大部分的科研需求。图5. Quantum Design工程师为合肥师范学院师生进行无掩膜光刻机操作培训这次在合肥师范学院安装的MicroWriter Baby Plus配备的是405 nm波长光源,特别适用于在正性光刻胶上制备二维微纳结构和三维灰度结构,助力客户在微纳机电,微纳光学等领域的研究以及小批量的试产。Baby Plus也可升级成365 nm波长光源或365 nm-405 nm波长双光源,方便研究人员制备以负性光刻胶为主的结构,满足客户的各种需求。图6.左图为利用405nm光源制备的微纳电极图形,右图为三维灰度图形小型台式无掩膜直写光刻系统MicroWriter的广泛应用在助力国内科研发展的同时,也在全球其他知名单位获得持续应用和好评,包括斯坦福大学、东京大学、新加坡国立大学、伯克利大学(UC Berkeley)和美国航天局(NASA)等,证明了国内外研究单位对其广泛应用及可靠性的认可。
  • 全球仅一家满足要求!海德堡获1300万元激光直写光刻机单一来源采购大单
    中国政府采购网5月13日发布《北京量子信息科学研究院科研仪器设备激光直写光刻机单一来源采购公示》,拟采购的货物为激光直写光刻机2台。北京量子信息科学研究院将以单一来源采购方式从Heidelberg Instruments Mikrotechnik GmbH 在中国大陆的唯一代理商华格科技(苏州)有限公司进行仪器采购,总预算金额1300万元。公示期限为2022年5月13日至2022年5月20日。采用单一来源采购方式的原因为:计划采购的激光直写光刻机需要可加工的最小结构尺寸达 0.3 μm,可以满足工艺的最低要求,而由于激光直写类设备的原理及工艺限制,0.3 μm 基本上是该类设备可以达到的极限。在全球范围内对比了多种激光直写设备,目前只有 Heidelberg Instruments Mikrotechnik GmbH 的 DWL66+激光直写光刻机可以达到要求。此外,随着芯片工艺的复杂度和芯片面积的不断上升,现有激光直写设备速度较慢的问题逐渐凸显,对流片速度产生了明显的影响。我们计划采购的另一台激光直写光刻机要求在保证最小结构尺寸不大于 0.8 μm 的条件下,曝光速度不小于 800 mm2 /min,并且可以加工 8 英寸晶片,对缩短芯片研发、生产周期具有不可替代的作用。我们在全球范围内对比了多种激光直写设备,目前只有 Heidelberg Instruments Mikrotechnik GmbH 的 VPG200+激光直写光刻机在不大于 0.8 μm 的最小结构尺寸下具有≥800 mm2 /min 的直写速度。华格科技(苏州)有限公司是 Heidelberg Instruments Mikrotechnik GmbH 在中国大陆的唯一代理商。综合以上研究要求,特申请以单一来源形式,通过华格科技(苏州)有限公司采购所需的激光直写光刻机。参与本次单一来源采购论证的专家为:北京大学副教授康宁、北京大学副教授吴孝松、中国科学院物理研究所副研究员屈凡明、中国科学院物理研究所副研究员田野、中国科学院物理研究所副研究员宋小会、北京政法职业学院教授孟德花、北京京棉纺织集团有限公司高级经济师刘放。专家论证意见如下:
  • 应对先进封装挑战,芯碁微装直写光刻技术助力本土创新突破
    人工智能 (AI) 和高性能计算 (HPC) 等应用推动了大算力芯片的需求激增,而随着摩尔定律趋近极限,先进封装正逐渐成为提升芯片性能的关键。当前2.5D、3D-IC、异构集成、Chiplet等诸多先进封装技术帮助芯片设计人员在尺寸更小、功耗更低的芯片中提供更多功能,实现性能的飞跃。然而,这些技术进步也带来了前所未有的挑战,它们对现有的制造工艺、设备和材料提出了更高的要求。越来越多的先进封装涉及处于晶圆制造(“前道”)和芯片封测(“后道”)之间被称为“中道”的工艺,包括重布线(RDL)、凸块制作(Bumping)及硅通孔(TSV)等工艺技术,涉及与晶圆制造相似的光刻、显影、刻蚀、剥离等工序步骤。其中,光刻技术起到了至关重要的作用,光刻设备已广泛应用于先进封装领域的倒装芯片结构封装的Bumping、RDL、2.5D/3D封装的TSV等的制作之中。如今,在板级封装及高端IC载板(Substrate)制造领域,直写光刻已经全面取代了传统光刻;在高端显示、先进封装以及第三代半导体等领域,直写光刻也开始崭露头角。在先进封装大潮之下,国内直写光刻技术龙头芯碁微装正以其卓越的性能和创新的技术解决方案,为行业带来突破性的变革。先进封装来袭,直写光刻崭露头角以去年以来备受关注的台积电CoWoS为例,它是一种2.5D封装技术,由CoW和oS组合而来。先将芯片通过Chip on Wafer(CoW)的封装制程连接至硅晶圆,再把CoW芯片与基板(Substrate)连接,整合成CoWoS。该技术的核心是将不同的芯片堆叠在同一片硅中介层,以实现多颗芯片互联。在硅中介层中,台积电使用微凸块(μBmps)、硅通孔(TSV)等技术,代替传统引线键合,用于裸片间连接,大大提高了互联密度和数据传输带宽。根据采用的中介层不同,台积电把CoWoS封装技术分为3种类型:CoWoS-S(Silicon Interposer)、CoWoS-R(RDL Interposer)和CoWoS-L(Local Silicon Interconnect and RDL Interposer)。 例如CoWoS被用于生产Nvidia、AMD、Amazon和Google等公司的高性能AI芯片,随着AI芯片的晶体管数量不断增加,且因为是用于数据中心和云计算,对尺寸要求不高,因此,未来的AI芯片很可能会越来越大。目前台积电正在通过CoWoS封装技术,开发比AMD的Instinct MI300X和英伟达B200面积更大的AI芯片,封装面积已经达到120mmx120mm。芯碁微装泛半导体销售总监潘昌隆指出,当前台积电主要使用的是CoWoS-S,随着大面积芯片设计越来越多,中介层越来越多,掩模尺寸越来越大,当中介层达到台积电最大reticle的四倍以上(1X reticle≈830mm² ),高于其当前中介层的3.3倍,就将转向CoWoS-L。数据显示,理论上EUV reticle限制为858mm² (26 mm x 33 mm),因此通过拼接六个掩模将实现5148 mm² 的SiP。如此大的中介层不仅可以为多个大型计算小芯片提供空间,还可以为12堆栈HBM内存留出足够的空间,这意味着12288位内存接口带宽高达9.8 TB/秒。而构建5148 mm² SiP是一项极其艰巨的任务,目前Nvidia H100加速器,其封装跨越一个中介层多个掩模大小,成本已经高达30000 美元。因此,更大、更强大的芯片可能会进一步推高封装成本。除了CoWoS-L,一些芯片设计公司也开始研究晶圆级系统(System on Wafer,SoW),这类设计将整个晶圆作为一个封装单元,逻辑、存储与控制相关的芯片都需要通过封装来集成,RDL的布线将会相当复杂,且RDL层数将会越来越高。对于这两大先进封装技术走向,潘昌隆表示,更大面积的芯片封装将对传统步进式光刻机的使用带来诸多挑战。一是掩模(mask)拼接问题。随着封装面积的增加,单一片掩模无法覆盖整个芯片,需要使用多个掩模并进行拼接。这增加了制造过程中的复杂性,可能导致拼接处的对准误差,影响最终产品的性能和良率。而且封装面积的增大可能会增加生产过程中的翘曲和缺陷,导致良率下降。特别是在掩模拼接区域,任何微小的误差都可能影响整个芯片的性能。而随着芯片集成化和大尺寸晶圆的使用,晶圆翘曲问题也愈发严峻,已成为影响先进封装可靠性的主要挑战之一。二是设计复杂度提高,生产效率下降。大尺寸封装设计需要更复杂的布线和层叠技术,如RDL层的布线将会相当复杂,且层数将会越来越多,这对设计工作和制造工艺都带来了极高的挑战。尤其大尺寸封装设计需要在光刻机中切换掩模来进行同层线路的曝光,这种频繁的掩模切换会降低生产的效率,拉长生产周期。三是设备局限性。传统的步进式投影光刻设备掩模尺寸大多是26×33mm² ,可能没有经验应对大尺寸封装的翘曲等问题。大尺寸封装的光刻需要设备具备处理更大尺寸晶圆/载板和应对翘曲等问题的能力。潘昌隆表示,除了CoWoS和SoW等晶圆级封装,FoPLP封装技术也开始逐渐发力,步进式光刻机在应对这类大面积封装同样力不从心,而直写光刻技术将会是最佳选择。在泛半导体领域,根据是否使用掩模版,光刻技术主要分为掩模光刻与直写光刻。掩模光刻可进一步分为接近/接触式光刻以及投影式光刻。直写光刻也称无掩模光刻,是指计算机控制的高精度光束聚焦投影至涂覆有感光材料的基材表面上,无需掩模直接进行扫描曝光。过去很长一段时间,掩模光刻技术是光刻工艺路线中的最佳选择;但随着成本日益高涨,未来,无掩模直写光刻技术或将凭借成本优势及行业布局逐渐受到行业关注。尤其在先进封装领域,直写光刻技术以其独特的优势和广泛的市场潜力,正逐渐成为推动行业创新的关键力量。直写光刻如何改写先进封装市场格局芯碁微装作为国内直写光刻设备的细分龙头,随着国内中高端PCB与 IC载板需求的增长及国产化率需求提升,正不断加快在载板、先进封装、新型显示、掩模版制版、功率分立器件、光伏电镀铜等方面的布局。潘昌隆表示,在先进封装领域,芯碁微装直写光刻设备中除了无掩模带来的成本及操作便捷等优势,在RDL、互联、智能纠偏、适用大面积芯片封装等方面都很有优势,设备在客户端进展顺利,并已经获得大陆头部先进封装客户的连续重复订单。潘昌隆总结了直写光刻技术应用于先进封装的几大优势。首先,掩模的制作往往耗时且成本高昂,直写光刻技术不使用传统步进式光刻所需的掩模,通过数字化的方式直接在硅片上进行图案曝光,大大缩短了产品从设计到市场的时间,并显著降低制造成本。并且直写光刻技术能够适应复杂的RDL设计和多层封装结构,这在传统的步进式光刻中可能难以实现,客户可以更灵活地调整和优化设计,适应不同需求,特别是在研发或样品开发阶段。其次,直写光刻技术减少了掩模交换和拼接的需求,简化了生产流程,从而提高了生产效率。尤其随着封装面积的增大,如CoWoS-L和FoPLP等技术的发展,直写光刻技术能够有效应对大尺寸封装的挑战。它能够处理超出传统掩模尺寸的大面积封装设计,避免了掩模拼接问题,提高了生产效率。同时直接光刻自由多分割和智能涨缩模式应对板级封装中大尺寸多增层曲翘变形有着极佳的品质。最后,对于当前追求国产化和减少对外部依赖的市场需求,大陆在先进制程受限的情况下,正在加大力度发展类CoWoS、Chiplet等先进封装以弥补性能差距,在此背景下,直写光刻技术提供了一种自主可控的解决方案,有助于降低供应链风险,增强国内产业的竞争力。“随着高性能大算力芯片要求不断提高,先进封装技术如CoWoS-L和FoPLP的需求将持续增长。随着大尺寸的RDL与SOW等未来产品的出现,直写光刻技术凭借其在大尺寸封装领域及成本方面的优势,将迎来广阔的市场空间。”潘昌隆表示,目前芯碁微装设备已实现低至2um的线宽距,涉及工艺包括垂直布线TSV、水平布线Bumping的RDL环节等,以灵活的数字掩模和高良品率满足了先进封装客户的要求,目前已有多台设备交付客户端,产品的稳定性和功能已经得到验证。值得注意的是,除了光刻制程,在晶圆切割、智能纠偏领域,直写光刻也展现出显著的技术优势。潘昌隆指出,在芯片制造过程中,需要采用切割工艺对晶圆进行划片,然而传统的金刚石切割、砂轮切割或激光切割会对晶圆造成较为严重的损伤,导致晶圆应力、碎裂、芯片性能下降等问题。目前在先进封装领域,高端的客户开始采用深硅刻蚀(DRIE)工艺的等离子切割来取代传统切割方法。不过DRIE需要一道曝光制程,但是此道曝光工艺不复杂,直写光刻技术能够直接在硅片或其他基底材料上绘制出精确的切割道,这些图案可以是简单的直线、曲线或其他复杂几何形状,并且能够实现更平滑和更精确的切割边缘,减少刀切或激光切割等传统切割方法可能引入的应力和损伤。此外,由于直写光刻使用的是数字光束和虚拟掩模,它不需要为每个不同的切割图案制作和更换物理掩模,这大大节省了成本和时间。另一个CoWoS典型场景是AI芯片中集成的多个HBM,需要将多个DRAM芯片进行堆叠,形成大容量的存储单元。直写光刻技术在此过程中可以用于精确地绘制切割道,以便进行芯片的切割和堆叠。相比传统的切割方式,不仅提高了切割的精度,还有助于实现更紧密的芯片堆叠,从而提升存储密度和性能。此外,直写光刻技术还可以确保切割后的芯片表面平整度高,这对于后续的混合键合(hybrid bonding)等工艺至关重要。“直写光刻技术在这两种切割场景中的应用,不仅可以提高切割的精度和质量,还可以减少生产成本和时间,提高整体的生产效率。”潘昌隆强调,“通过直写光刻技术,可以实现更灵活的设计调整和更快速的产品迭代,满足市场对高性能、高密度芯片的需求。”除此之外,直写光刻技术也越来越多地用于智能纠偏。潘昌隆解释,由于目前在先进封装的晶圆重构封装中存在三大技术难点,第一是芯粒偏移(Die Shift),这是指在芯片转移过程出现了偏位、涨缩等情况从而导致实际的芯粒位置和预设位置产生了偏差,进而需要纠偏;第二是翘曲(Warpage),这是由EMC材料和硅片的热膨胀系数不匹配而产生的形变,会导致曝光不良;第三是残胶(Residue)。对于芯粒的偏移问题,直写光刻技术可以通过更改布线或PI层或凸点纠偏的图形矫正以保证RDL层图形的精度。此外,在FoWLP的贴片工艺中,基于直写光刻的PI纠偏方案可以很好地缩小贴片机的贴片误差。因此,在晶粒偏移、衬底翘曲、基片变形等领域,直写光刻技术的自适应调整能力,使之具有良率高、一致性好的优点。由于直写光刻相较于步进式光刻的优势主要体现在无需物理掩模就可实现实时图案调整、提升生产效率与良率等方面,因而能够适应多层和大尺寸封装的复杂纠偏需求。其灵活性和高精度纠偏能力,简化了生产流程,降低了成本,并支持了先进封装技术的快速发展,满足市场对高性能、高密度芯片的需求。机遇与挑战共存,直写光刻生态链正在重塑根据Yole和集微咨询的预估,2022-2026年全球先进封装市场规模将从379亿美元增长至482亿美元,CAGR达到6.2%。未来先进封装技术在整个封装市场的占比正在逐步提升,3D封装、扇型封装(FOWLP/PLP)、微间距焊线技术,以及系统级封装(SiP)等技术的发展成为延续摩尔定律的重要途径。同时,Yole也预测,在IC先进封装领域内,激光直写光刻设备将在未来三年内逐步成熟并占据一定市场份额,具有良好的市场应用前景。诚然,直写光刻技术在先进封装领域开始崭露头角,但目前距离大规模量产使用仍需要克服一系列技术和市场方面的挑战。潘昌隆指出,首先,随着先进封装技术的发展,对光刻精度的要求越来越高。直写光刻技术需要进一步提升其解析度,以满足更小线宽和更高密度的封装需求。其次,直写光刻在良率和产速(UPH)等方面尚不能完全与步进式光刻媲美,而良率的瓶颈主要在于市场上仍然没有专门为直写光刻开发的光刻胶以及配套的光源。传统的光刻胶和介质层材料是为步进式光刻机设计的,直写光刻技术需要与这些材料更好地匹配,以确保光刻质量和效率。最后是许多封装客户对直写光刻技术仍然缺乏了解,需要更多的市场教育和技术普及来提高客户的认知度和接受度,并且如何在市场竞争中突出芯碁微装的独特优势并赢得客户信任也是一大挑战。随着国内半导体产业在先进制程领域发展受限,对先进封装的需求与日俱增,目前大陆在类CoWoS等2.5D、3D封装领域的研发正在加速挺进。芯碁微装在推动先进封装领域的国产化方面,制订并采取了一系列切实有效的计划和措施。“本土化研发是芯碁微装的核心战略之一。公司建立了强大的本土研发团队,专注于技术创新和产品开发,确保技术能够及时响应国内客户的需求。通过本土化研发,芯碁微装能够快速适应市场变化,推动技术进步。”潘昌隆表示,“在提升直写光刻良率、生产效率等方面,芯碁微装也与国内上下游产业链建立了密切的合作。例如在配套的光刻胶上,芯碁微装正与日系、大陆的i线、KrF光刻胶厂商密切合作,进行生产验证、配方调整等工作,提升量产可行性。与此同时,芯碁微装还与国内封装厂、设计公司和晶圆厂等建立了紧密的合作关系,了解客户需求和使用反馈,为他们提供定制化的解决方案。”值得一提的是,芯碁微装致力于提高零部件的国产化比例,目前90%以上的零部件已经实现国产化。这不仅减少了对进口零部件的依赖,增强了供应链的稳定性,还降低了生产成本,提高了产品的市场竞争力。随着技术的不断成熟和市场的逐步认可,整个生态链将被重塑,在生态链的各个环节,从材料供应商到设备制造商,再到最终的封装企业,都开始积极适应这一变革,探索与直写光刻技术相适应的新产品、新工艺和新解决方案。这种跨行业、跨领域的合作,将进一步加速直写光刻技术的创新和应用。相信直写光刻不仅将在先进封装领域扮演越来越重要的角色,而且将成为重塑国内半导体产业链结构和提升产业竞争力的重要推手。
  • Nanotechnology:采用热扫描探针光刻和激光直写相结合的方法快速制备点接触量子点硅基晶体管
    制造高品质的固态硅基量子器件要求高分辨率的图形书写技术,同时要避免对基底材料的损害。来自IBM实验室的Rawlings等人利用SwissLitho公司生产的3D纳米结构高速直写机NanoFrazor,结合其高分辨热探针扫描技术和高效率的激光直写功能,制备出一种室温下基于点接触隧道结的单电子晶体管(SET)。利用扫描探针可以确定佳焦距下的Z向位置,同时确定扫描探针和激光直写的位置补偿,研究人员在兼顾高分辨和高效率书写条件下得到小于100nm的度。利用CMOS工艺兼容几何图形氧化流程,研究人员在N型简并掺杂(>1020/cm3)的缘硅基底上制备出该SET器件。所研究的三种器件的特性主要由Si纳米晶和嵌入SiO2中的P原子所控制,进而形成量子点(QDs)。量子点上电子尺寸微小且局域性强,保证了SET在室温情况下的稳定运行。温度测量结果显示在100 – 300 K的范围内,电流主要由热激发产生,但在<100K时,主要以隧道电流为主。在硅基量子点器件的制备过程中,内部精细的功能器件区域一般要求高分辨率书写,但是在外部电相对粗糙的连接处仅需要高效的相对低分辨率刻蚀,这就是所谓的“混合搭配光刻”(mix-and-match lithography)。但是两种不同原理的书写技术结合应用会增加工作量,同时带来图形转移过程的位置偏差和对样品表面的污染。在本工作中,3D纳米结构高速直写机NanoFrazor系统将激光直写技术与高分辨热探针书写技术(XY: 10nm,Z: 1nm)相结合(如图1所示),这样可以利用热探针技术实现高分辨率区域的图形书写,而利用激光直写技术实现低分辨率区域的快速书写(如图2a所示, 蓝色区域为激光直写区域,深绿色区域为热探针书写区域),后实现一次性书写整体图形的高效性,同时避免了不必要流程所导致的表面污染和位置偏差。 图1:a) 热探针和激光透镜的结构示意图。b) 热探针连接在Z向压电传感器和位移台上,平行激光经透镜聚焦在样品表面。通过摄像头收集反射光实现样品成像,利用探针和激光的位置补偿进行表面书写。 图2:单电子器件(SET)的制作工艺流程示意。a) 器件图形示意,粉色区域为制备SET前的预图形书写区域。图形中央30μm×30μm区域中包含利用激光直写区域(蓝色)和利用热探针技术书写区域(深绿色);b) 位置校准示意;c) 对书写区域进行定位。d) 利用热探针技术进行高分辨率书写(图2a中深绿色区域);e) 利用激光直写技术进行低分辨率快速书写(图2a中蓝色区域);f) 利用RIE实现图形向硅层转移;g) 通过热氧化得到器件通道中的点接触通道。 IBM专门研发设计的NanoFrazor 3D纳米结构高速直写机所采用的针是具有两个电阻加热区域,针上方的加热区域可以加热到1000℃,二处加热区域作为热导率传感器位于侧臂处,其能感知针与样品距离的变化,精度高达0.1nm。因此,在每行直写进程结束后的回扫过程中,并不是通过针起伏反馈形貌信息,而是通过热导率传感器感应形貌变化,从而实现了比AFM快1000余倍的扫描速度,同避免了针的快速磨损消耗。NanoFrazor 3D纳米结构高速直写机与传统的微纳加工设备,如纳米醮印、激光直写、聚焦离子束刻蚀FIB、电子束诱导沉积、电子束光刻EBL等技术相比,具有高直写精度 (XY: 高可达10nm, Z: 1nm)以及高直写速度(20mm/s 与EBL媲美),具备实时形貌探测的闭环刻写技术以及无需标记拼接与套刻等特技术优势。加上其性价比高,使用和维护成本低,易操作等特点,成为广受关注的纳米加工设备。拓展阅读:Fast turnaround fabrication of silicon point-contact quantum-dot transistors using combined thermal scanning probe lithography and laser writingC. Rawlings, Y. K. Ryu, M. Rüegg, N. Lassaline, etc.DOI: 10.1088/1361-6528/aae3df
  • 无掩膜直写光刻系统助力二维材料异质结构电输运性能研究,意大利科学家揭秘其机理!
    期刊:ACS NanoIF:18.027文章链接: https://doi.org/10.1021/acsnano.1c09131 【引言】MoS2是一种典型的二维材料,也是电子器件的重要组成部分。研究者发现,当MoS2与石墨烯接触会产生van der Waals作用,使之具有良好的电学特性,可广泛应用于各类柔性电子器件、光电器件、传感器件的研究。然而,MoS2-石墨烯异质结构背后的电输运机理尚不明确。这主要是因为传统器件只有两个接触点,不能将MoS2-石墨烯异质结构产生的电学输运特性与二维材料自身的电学特性所区分。此外,电荷转移、应变、电荷在缺陷处被俘获等因素也会对器件的电输运性能产生影响,进一步提高了相关研究的难度。尽管已有很多文献报道MoS2-石墨烯异质结构的电输运性能,但这些研究主要基于理论计算,缺乏对MoS2-石墨烯异质结构的电输运性能在场效应器件中的实验研究。 【成果简介】2021年,意大利比萨大学Ciampalini教授课题组利用小型台式无掩膜直写光刻系统- MicroWriter ML3 制备出基于MoS2-石墨烯异质结构的多场效应管器件,在场效应管器件中直接测量了MoS2-石墨烯异质结构的电输运特性。通过比较MoS2的跨导曲线和石墨烯的电流电压特性,发现在n通道的跨导输运被抑制,这一现象明显不同于传统对场效应的认知。借助第一性原理计算发现这一独特的输运抑制现象与硫空位相关。本文中所使用的小型台式无掩膜直写光刻系统- MicroWriter ML3无需掩膜版,可在光刻胶上直接曝光绘出所要的图案。设备采用集成化设计,全自动控制,可靠性高,操作简便,同时其还具备结构紧凑(70cm X 70cm X 70cm)、高直写速度,高分辨率(XY:图4. MoS2的输运特性。(a)室温条件下,MoS2在0-80V的VG范围内的I-V特性曲线。(b)转移特性显示出强烈的迟滞。红色箭头表面扫频方向,红色虚线为场效应移动的预计值。其中插图为测量器件的光学照片,电极用黑色圆点表示。图5. MoS2覆盖层对石墨烯的电子输运的影响。(a,b)石墨烯上不同MoS2覆盖面积的器件光学照片。(c-g)石墨烯上不同MoS2覆盖面积的转移特性,黑色覆盖率0%,橘色48%,蓝色 55%,黄色69%,紫色79%。图6. 硫空位对场效应的影响。(a)MoS2-石墨烯界面的能带结构和态密度。(b)不同门电压条件下,场效应所导致的电子和空位的分布。蓝色表示电子,红色表示空位。(c,d)在不同门电压条件下,MoS2-石墨烯界面的侧视图以及硫空位(绿色)的位置。图7. 不同硫空位密度条件下,石墨烯导电性能计算值。 【结论】Ciampalini教授课题组首先制备了MoS2-石墨烯二维材料的异质结构,在此基础上使用小型台式无掩膜直写光刻系统- MicroWriter ML3制备了多场效应管器件。通过对多场效应管器件的直接测量,发现了MoS2覆盖层对石墨烯电输运性能的独特抑制作用。为了更好地理解这一独特电输运现象,采用第一性原理的方法,计算了硫空位对石墨烯导电性能的影响。该工作为后续的石墨烯场效应电学及光电器件的研究和应用打下良好的基础。同时,从文中也可以看出,课题组最主要的优势是能够制备出基于MoS2-石墨烯异质结构的多场效应管器件。在制备该器件过程中,需要及时修改相应的参数,得到优化的实验结果,十分依赖灵活多变的光刻手段,小型台式无掩膜直写光刻系统- MicroWriter ML3可以任意调整光刻图形,对二维材料进行精准套刻,帮助用户快速实现器件制备,助力电输运研究。小型台式无掩膜直写光刻系统- MicroWriter ML3
  • 激光外差干涉技术在光刻机中的应用
    激光外差干涉技术在光刻机中的应用 张志平*,杨晓峰 复旦大学工程与应用技术研究院上海市超精密运动控制与检测工程研究中心,上海 201203摘要 超精密位移测量系统是光刻机不可或缺的关键分系统之一,而基于激光外差干涉技术的超精密位移测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程和数米每秒的测量速度等优点,是目前唯一能满足光刻机要求的位移测量系统。目前应用于光刻机的超精密位移测量系统主要有双频激光干涉仪和平面光栅测量系统两种,二者均以激光外差干涉技术为基础。本文将分别对这两种测量系统的原理、优缺点以及在光刻机中的典型应用进行阐述。关键词 光刻机;外差干涉;双频激光干涉仪;平面光栅1 引言集成电路产业是国家经济发展的战略性、基础性产业之一,而光刻机则被誉为集成电路产业皇冠上的明珠[1]。作为光刻机三大指标之一的套刻精度,是指芯片当中上下相邻两层电路图形的位置偏差。套刻精度必须小于特征图形的1/3,比如14 nm节点光刻机的套刻精度要求小于5.7 nm。影响套刻精度的重要因素是工件台的定位精度,而工件台定位精度确定的前提则是超精密位移测量反馈,因此超精密位移测量系统是光刻机不可或缺的关键分系统之一[2-4]。随着集成电路特征尺寸的不断减小,对位置测量精度的需求也不断提高;同时,为了满足光刻机产率不断提升的需要,掩模台扫描速度也在不断提高,甚至达到 3 m/s 以上;此外,为了满足大尺寸平板显示领域的需求,光刻机工件台的尺寸和行程越 来越大,最大已达到 1. 8 m×1. 5 m;最后,为了获得工件台和掩模台良好的同步性能,光刻机还要求位置测量系统具备多轴同步测量的功能,采样同步不确定性优于纳秒级别[5-8]。 综上,光刻机要求位置测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程、数米每秒测量速度、闭环反馈以及多轴同步等特性。目前,在精密测量领域能同时满足上述测量要求的,只有外差干涉测量技术。 本文分别介绍外差干涉测量技术原理及其两 种具体结构——双频激光干涉仪和平面光栅测量系统,以及外差干涉技术在光刻机中的典型应用。 2 外差干涉原理 2. 1 拍频现象 外差干涉又称为双频干涉或者交流干涉,是利用“拍频”现象,在单频干涉的基础上发展而来的一 种干涉测量技术。 假设两列波的方程为 x1 = A cos ω1 t , (1) x2 = A cos ω2 t 。 (2) 叠加后可表示为(3)拍频定义为单位时间内合振动振幅强弱变化 的次数,即 v =| (ω2 - ω1)/2π |=| v 2 - v 1 | 。 (4) 波 x1、x2 以及合成后的波 x 如图 1 所示,其中包 络线的频率即为拍频,也称为外差频率。如果其中一个正弦波的相位发生变化,拍频信号的相位会发生完全相同的变化,即外差拍频信号将完整保留原始信号的相位信息。 图 1. 拍频示意图Fig. 1. Beat frequency diagram对于激光而言,因为频率很高(通常为 1014 Hz 量级),目前的光电探测器无法响应,但可以探测到两束频率相近的激光产生的拍频(几兆到几十兆赫兹)。因此拍频被应用到激光领域,发展成激光外差干涉技术。2. 2 外差干涉技术 由拍频原理可知 ,所谓外差就是将要接收的信号调制在一个已知频率信号上,在接收端再将该调制信号进行解调。由于高频率的激光信号相位变化难以精确测量,但利用外差干涉技术可以用低频拍频信号把高频信号的 相位变化解调出来,将大大降低后续精确鉴相的难度。因此,外差技术最显著的特点就是信号以交流的方式进行传输和处理。 与单频干涉技术相比,外差干涉技术的突出优点是:1)由于被测对象的相位信息是加载在稳定的差频(通常几兆到几十兆赫兹)上,因此光电探测时避过了低频噪声区,提高了光电信号的信噪比。例如在外界干扰下,测量光束光强衰减 50% 时,单频干涉仪很难正常工作,而外差干涉仪在光强衰减 90% 时仍能正常工作 ,因此更适用于工业现场 。 2)外差干涉可以根据差频信号的增减直接判别运动方向,而单频干涉技术则需要复杂的鉴相系统来 判别运动方向。单频干涉技术与外差干涉技术对比如表 1 所示。表 1. 单频干涉技术与外差干涉技术对比Table 1. Comparison between homodyne interferometry and heterodyne interferometry3双频激光干涉仪 3. 1 双频激光干涉仪原理 双频激光干涉仪是在单频激光干涉仪的基础上结合外差干涉技术发展起来的,其原理如图 2 所 示。双频激光器发出两列偏振态正交的具有不同频率的线偏振光,经过偏振分光器后光束被分离。 图 2. 双频激光干涉仪原理图Fig. 2. Schematic diagram of dual frequency laserinterferometer设两束激光的波动方程为 E1 = E R1 cos ( 2πf1 t ) E2 = E R2 cos ( 2πf2 t ) , (5) 式中:ER1和 ER2为振幅;f1和 f2为频率。 偏振态平行于纸面的频率为 f1 的光束透过干涉仪后,被目标镜反射回干涉仪。当被测目标镜移动时,产生多普勒效应,返回光束的频率变为 f1 ± Δf, Δf 为多普勒偏移量,它包含被测目标镜的位移信息。经过干涉镜后,与频率为 f2 的参考光束会合,会合后光束发生拍频,其光强 IM函数为 (6) 式(6)包含一个直流量和一个交流量,经光电探测器转换为电信号,再进行放大整形后,去除直流量,将交 流量转换为一组频率为 f1 ± Δf- f2的脉冲信号。从双频激光器中输出频率为 f1 - f2 的脉冲信 号,作为后续电路处理的基准信号。测试板卡采用减法器通过对两列信号的相减,得到由于被测目标 镜的位移引起的多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为 (7) 式中:λ 为激光的波长;N 为干涉的条纹数。因此, 只要测得条纹数,就可以计算出被测物体的位移。 3. 2 系统误差分析 双频激光干涉仪的系统误差大致由三部分组成:仪器误差、几何误差以及环境误差,如表 2 所示。 三种误差中,仪器误差可控制在 2 nm 以内;几何误 差可以通过测校进行动态补偿,残差可控制在几纳米以内;环境误差的影响最大,通常可达几十纳米到几微米量级,与测量区域的环境参数(温度、压 力、湿度等)有关,与量程几乎成正比,因此大量程测量时,需要对环境参数进行控制。 表 2. 双频激光干涉仪系统误差分解Table 2. System error of dual frequency laser interferometer4 平面光栅测量系统 双频激光干涉仪在大量程测量时,精度容易受 温度、压力、湿度等环境因素影响,研究者们同样基于外差干涉原理研发了平面光栅测量系统,可克服双频激光干涉仪的这一缺点。 4. 1 基于外差干涉的光栅测量原理 众所周知 ,常规的光栅测量是基于叠栅条纹的,具有信号对比度差、精度不高的缺点。基于外差干涉的光栅测量原理如图 3 所示,双频激光器发出频率 f1 和 f2 的线偏振光,垂直入射到被测光栅表面,分别进行+1 级和−1 级衍射,衍射光经过角锥反射镜后再次入射至被测光栅表面进行二次衍射, 然后会合并沿垂直于光栅表面的方向返回。由于被测光栅与光栅干涉仪发生了相对运动,因此,返回的激光频率变成了 f1 ± Δf和 f2 ∓ Δf,其中 Δf为多 普勒频移量,它包含被测目标镜的位移信息。 图 3. 基于外差干涉的光栅测量原理Fig. 3. Principle of grating measurement based on heterodyne interference会合后的光束 f1 ± Δf 和 f2 ∓ Δf 发生拍频,其频率为 ( f1 ± Δf ) - ( f2 ∓ Δf ) = ( f1 - f2 ) ± 2Δf。(8) 式(8)的信号与双频激光器中输出频率为 f1 - f2 的 参考信号相减,得到多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为(9) 式中 :p 为光栅的栅距 ;N 为干涉的条纹数 。 因此,只要测得条纹数 ,就可以计算出被测物体的位移。 上述原理推导是基于一维光栅刻线的,只能测量一维运动。为了获得二维测量,只需将光栅的刻线由一维变成二维(即平面)即可。 4. 2 两种测量系统优缺点对比 由此可知,基于外差干涉的光栅测量原理与双频激光干涉仪几乎完全相同,主要的差别是被测对象由反射镜换成了衍射光栅。两种测量系统的优缺点如表 3 所示。表 3. 双频激光干涉仪与光栅测量系统对比Table 3. Dual frequency laser interferometer versus gratingmeasurement system5外差干涉测量在光刻机中的应用 发展至今,面向 28 nm 及以下技术节点的步进扫描投影式光刻机已成为集成电路制造的主流光刻机。作为光刻机的核心子系统之一的超精密工件台和掩模台,直接影响着光刻机的关键尺寸、套刻精度、产率等指标。而工件台和掩模台要求具有高速、高加速度、大行程、超精密、六自由度(x、y 大 行程平动,z 微小平动,θx、θy、θz微小转动)等运动特点,而实现这些运动特点的前提是超精密位移测量反馈。因此,基于外差干涉技术的超精密位移测量子系统已经成为光刻机不可或缺的组成部分。 4. 光刻机中的多轴双频激光干涉仪[10]Fig. 4. Multi-axis dual frequency laser interferometer in lithography machine[10]图 4 为典型的基于多轴双频激光干涉仪的光刻机工件台系统测量方案[10],在掩模台和硅片台的侧面布置多个多轴激光干涉仪,对应地在掩模台和硅 片台上安装长反射镜;通过多个激光干涉仪的读数解算出掩模台和硅片台的六自由度位移。 然而,随着测量精度、测量行程、测量速度等运动指标的不断提高,双频激光干涉仪由于测量精度易受环境影响、长反射镜增加运动台质量致使动态性能差等问题难以满足日益提升的测量需求。因 此,同样基于外差干涉技术的平面光栅测量系统成为了另一种选择[8]。 光刻机工件台平面光栅测量技术首先由世界光刻机制造巨头 ASML 公司取得突破。该公司于 2008 年 推 出 的 Twinscan NXT:1950i 浸 没 式 光 刻机,采用了平面光栅测量技术对 2 个工件台的六自 由度位置进行精密测量。如图 5 所示,该方案在主基板的下方布置 8 块大面积高精度平面光 栅(约 400 mm×400 mm),在两个工件台上分别布置 4 个 平面光栅读数头(光栅干涉仪),当工件台相对于平 面光栅运动时,平面光栅读数头即可测出工件台的 运动位移[2,5,9]。图 5. ASML 光刻机的平面光栅测量方案[2,5,9]Fig. 5. Plane grating measurement scheme of ASML lithography machine[2,5,9]相比多轴双频激光干涉仪测量方案,平面光栅测量方案具有以下优点:1)测量光路短(通常小于 20 mm),因此测量重复精度和稳定性对环境变化不 敏感;2)工件台上无需长反射镜,因此质量更轻、动态性能更好。 然而,平面光栅测量方案也有其缺点:1)大面积高精度光栅制造难度太大;2)由式(9)可知,位移 测量结果以栅距 p 为基准,然而受栅距均匀性限制, 测量绝对精度不高。为了获得较好的精度和线性度,往往需要利用双频激光干涉仪进行标定。 面临极端测量需求的挑战 ,Nikon 公 司 在 NSR620D 光刻机中采用了平面光栅和双频激光干涉仪混合测量的技术方案[9],如图 6 所示。该方案 将平面光栅安装在工件台上表面,而将光栅读数头安装在主基板下表面,同时增加了双频激光干涉仪,结合了平面光栅测量系统和双频激光干涉仪的 优点。在读头与读头切换时采用双频激光干涉仪进行在线校准。 图 6. Nikon光刻机混合测量方案[9]Fig. 6. Hybrid measurement scheme of Nikon lithography machine [9]6激光外差干涉系统的发展趋势 无论是双频激光干涉仪还是平面光栅测量系统,要想获得纳米级测量精度,既需要提高测量系统本身的精度,更需要从使用的角度努力,即“三分 靠做,七分靠用”。 就激光外差干涉测量系统本身而言,误差源主要来自于光学非线性误差。在外差干涉测量系统 中,由于光源及光路传输过程各光学器件性能不理想或装调有偏差,会带来两个频率的光混叠现象, 即原本作为测量信号频率 f1(或 f2)的光中混杂了频 率 f2(或 f1)的光,或原本作为参考信号频率 f2(或 f1) 的光中混杂了频率 f1(或 f2)的光。在信号处理中该混叠的频率信号会产生周期性的光学非线性误差。尽管目前主流的双频激光干涉仪厂家已经将非线性误差控制在 2 nm 以内[10- 12],但应用于 28 nm 以下光刻机时仍然需要进一步控制该误差。国内外众多学者从非线性误差来源、检测和补偿等角度出发,进行了大量研究并取得了丰硕成果[13- 17]。这些成果有望对非线性误差的动态补偿提供理论支持。 从应用角度,研究热点主要集中在应用拓展、 安装误差及其测校算法、环境参数控制及其补偿方法研究等方面。在应用拓展方面,激光外差干涉技术除了应用于测长之外,还在小角度测量、直线度、平面度、反馈测量等方面取得了应用[18- 20]。在安装误差和环境误差补偿算法方面,主要聚焦于多自由度解耦算法、大气扰动补偿等研究方向[4,21- 27]。 7 总结 阐述了光刻机对位移测量系统大量程、亚纳米 分辨率、纳米精度、高测速及多轴同步的苛刻要求。 概述了激光外差干涉技术原理,指出目前为止,激光外差干涉技术是唯一能满足光刻机上述要求的超精密位移测量技术。并综述了两种基于激光外差干涉技术的测量系统:双频激光干涉仪和平面光栅测量系统。总结了这两种位移测量系统在光刻机中的典型应用,以及激光外差干涉技术的当前研究热点和发展趋势。全文详见:激光外差干涉技术在光刻机中的应用.pdf
  • 喜报!--昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理!
    喜报!昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理。上海昊量光电设备有限公司自2023年1月1日正式成为Microlight3D公司SMART PRINT UV系列DMD无掩光刻系统的中国区独家代理商,此次获得Microlight3D的授权,体现了Microlight3D对上海昊量光电设备有限公司市场销售的专业度及售后技术支持力量的高度认可。我们将一如既往的为国内广大用户提供更为优质的服务。Microlight3D成立于2016年,在格勒诺布尔阿尔卑斯大学(Université Grenoble Alpes,UGA)进行了长达15年的3D微型打印技术研发。格勒诺布尔阿尔卑斯大学创建于1339年,是一所拥有近七百年历史的国立综合研究型大学,是欧洲最古老的大学之一,教学科研实力处于法国顶尖、世界一流水平。作为具有世界影响力的法国公立大学,格勒诺布尔-阿尔卑斯大学先后诞生过3位诺贝尔奖获得者(克劳斯冯克利青、路易奈尔、热拉尔穆鲁),1位图灵奖获得者(约瑟夫斯发基斯)。Microlight3D公司一直在快速发展,得益于Smart Print UV System在世界各地的实验室和公立私立研究中心的大量销售。Microlight3D公司推出的DMD无掩模光刻系统Smart Print UV系列,是一种基于DMD投影技术的无掩模光刻设备,可兼容多种抗蚀剂和基材。Smart Print UV可以在微米分辨率下产生任何2D形状,而不需要硬掩模。https://www.auniontech.com/details-392.html 点击查看详请Smart Print UV 系统特点:&diams 最小特征尺寸1.5um&diams 可更换目标的可调节写入区域和分辨率&diams 兼容CAD文件及bitmap文件&diams 兼容SU-8、g线、h 线、i 线等绝大部分光刻胶&diams 兼容多种基材(硅、玻璃、柔性薄膜、金属、塑料等)&diams 兼容多种样品尺寸:2''和4''晶圆、4''和5''方形或者定制更大尺寸、显微镜矩形载玻片、14mm和25mm圆形载玻片&diams 反馈相机:聚焦,对齐,准直&diams 手动旋转台:360°可旋转,精度 0.1°&diams 桌面型,占地空间小&diams 曝光速度相比同类型产品更快!&diams 极具性价比!&diams 软件操作和设备维护简单!&diams 交货周期短!&diams 可免费打样! Smart Print UV 功能选件:&diams 1X Objective 磁吸快速更换镜头 10.6 mm x 5.9 mm FOV and 15 µ m resolution &diams 5X Objective 磁吸快速更换镜头2.1 mm x 1.2 mm FOV and 3 µ m resolution &diams 10X Objective 磁吸快速更换镜头1.06 mm x 0.59 mm FOV and 1.5 resolution&diams 4" 晶圆样品支架 &diams 玻璃载玻片样品支架&diams 多用途大型样品支架兼容4" & 5" 方形基板, 2" & 4" 晶圆, 显微镜载玻片, 14 mm & 25 mm 圆形载玻片&diams 定制化样品支架根据客户要求,可适应更大样品尺寸或多个样品;兼容柔性膜材基底(New!) &diams 保修期延期设备保修期2年,软件免费升级2年Smart Print UV 核心规格:型号SP-UV.StandardSP-UV.Advanced光源曝光波长:385nm;校准波长:590nm最小特征尺寸1.5um对准准度(1cm2区域)2um1um拼接精度 2um<1um最大曝光范围70×70mm2110×110mm2基板尺寸4英寸(wafer)5英寸(方形)写入速度77mm2/min220mm2/min 系统尺寸 长×宽×高:52(cm)×52(cm)×69(cm)软件包:电脑Windows 10Pro, 24inch屏幕SFTprint软件机器控制、步进重复、自动剂量测试、拼接、对齐SFT转换器将标准CAD格式(gdsii、dxf、cif、oas)转换为机器格式;包含CAD软件镜头选项:物镜1X2.5X5X10X写入区域(mm)10.56×5.944.2×2.42.1×1.21.06×0.59最小线宽(um)15631.5Smart Print UV 应用领域:Smart Print UV是为需要制作表面微图案、微结构的任何应用领域的完美设计,如MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等。 Microlight3D公司还有另外一款明星产品-双光子聚合3D纳米光刻机。2007年,第一代双光子聚合3D纳米光刻机microFAB-3D问世,在中国大陆、台湾和欧洲地区有大量的销售。2018年,公司获得了法国研究与创新部颁发的公共研究领域最具创新力年轻公司奖。2019年,推出了第一代紧凑型桌面式双光子聚合3D纳米光刻机。2019年,Microlight3D完全收购了无掩模光刻系统制造商SmartForceTechnologies。未来,上海昊量光电设备有限公司将获得Microlight3D更多的资源支持,昊量光电也将继续秉承互利共赢的发展理念,充分发挥自身强大的专业技术沟通和服务优势,力争为国内MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等应用领域的研究和推广贡献一份力量,满足并不断超越客户的期望,致力于为国内前沿的科研与工业领域提供优质的产品与服务,助力中国智造与中国创造。如果您对DMD无掩模光刻机感兴趣,请访问上海昊量光电的网页,欢迎继续关注上海昊量光电的各大媒体平台,我们将不定期推出各种产品介绍与技术新闻。更多详情请联系昊量光电/欢迎直接联系昊量光电关于昊量光电:上海昊量光电设备有限公司是光电产品专业代理商,产品包括各类激光器、光电调制器、光学测量设备、光学元件等,涉及应用涵盖了材料加工、光通讯、生物医疗、科学研究、国防、生物显微、物联传感、激光制造等;可为客户提供完整的设备安装,培训,硬件开发,软件开发,系统集成等服务。您可以通过我们昊量光电的网站了解更多的产品信息,或直接来电。
  • 芯碁微“一种用于直写式光刻机的安全防护系统及方法”专利公布
    天眼查显示,合肥芯碁微电子装备股份有限公司“一种用于直写式光刻机的安全防护系统及方法”专利公布,申请公布日为2024年8月9日,申请公布号为CN118466111A。背景技术运动平台一般会在机台腔体内部进行动作,设计人员对运动平台的安全防护意识比较薄弱,如有操作人员在门板打开的情况进行机台内部调试或者操作时不注意,运动平台容易对操作人员造成伤害;并且,如平台因某些杂物入侵导致平台运动出现问题,曝光时未能采取正确的曝光路径,会导致做板批量报废。平台运动是根据上位机软件进行控制的,若控制模块出现问题或者平台碰到异物时,控制器可立即停止平台运动并把异常信息反馈给上位机,现有技术安全防护方案主要是集中在放板区配置安全光幕,当操作员的手指碰到安全光幕时电动门停止,平台也被上位机软件进行急停操作,在此种防护模式中有几种弊端:平台在安全防护时,更多的是对于驱动器自身问题的急停,如线缆损坏或者驱动器偶发报错,缺少了对于平台的主动急停以及平台急停后的一系列安全防护手段;在平台急停之后,若不登录上位机软件,操作人员不容易得到报警信息,同时延长了排查问题的时间;由于目前是通过软件进行急停以及其他一系列控制的,若发生通讯异常的情况,上位机无法急停平台,可能会导致发生一系列安全事故,需要一套PLC软件联动以及硬件防护的控制措施,来达到机台安全防护的最好效果。发明内容本发明公开了一种用于直写式光刻机的安全防护系统及方法,包括触发模块,该触发模块用于感应门板金属产生触发信号;控制模块,该控制模块与触发模块连接,所述触发模块用于接收触发信号并输出平台急停信号;以及平台急停模块,该平台急停模块与控制模块连接,所述平台急停模块用于接收平台急停信号,使运动平台所有的运动部件失去使能;该用于直写式光刻机的安全防护系统及方法,与PLC软件联动,达到机台安全防护的最好效果,解决了现有技术缺少了对于平台的主动急停以及平台急停后的一系列安全防护手段的问题及操作人员不容易得到报警信息,同时延长了排查问题时间的问题。
  • 卡尔蔡司扩建光刻设备光学元件工厂并扩建光掩模研发设施
    卡尔蔡司半导体制造技术公司(ZEISS SMT)是卡尔蔡司的子公司,生产半导体光刻设备的光学元件,宣布在德国黑森州韦茨拉尔(Wetzlar)开始建设一座用于DUV光刻设备光学元件的新工厂。 计划于2025年完工。新工厂计划竣工示意图(资料:卡尔蔡司)Wetzlar的生产基地生产DUV光刻设备的光学元件已有20多年的历史,但该公司表示,随着工业4.0、自动驾驶和5G等大趋势推动对半导体制造设备的需求,现有工厂的制造能力已达到极限,它将随着新工厂的建设而提高产量。 新工厂的生产面积将超过1,2000m2,将创造150个新工作岗位。Wetzler的现有工厂(380名员工)也在测试各种自动化新概念,并将结果纳入新工厂,并特别注意用于敏感测量的无振动结构,因为DUV光刻设备的光学产品需要纳米级精度。蔡司SMT最大的客户ASML将公司的大量积压归因于曝光设备光学镜头供应不足,这也提高了对蔡司SMT新工厂运营的期望。扩大德国研发基地卡尔蔡司还宣布,到2026年底,将投资超过2000万欧元扩建其位于德国黑森州罗斯多夫的光掩模研发设施。 该设施将增加一个300平方米的洁净室,并开发一个以纳米精度修复光掩模缺陷的系统。基于卡尔蔡司电子束技术的MeRiT系统甚至可以以纳米精度修复光掩模中的最小缺陷,许多半导体制造商使用该系统来修复光掩模。 由于半导体不断小型化、精密化和节能化,因此不断开发掩模修复系统也至关重要。
  • 国内光刻直写第一股登录科创板:核心零部件依赖进口
    4月1日,合肥芯碁微电子装备股份有限公司首次公开发现股票并在科创板上市。不过,招股说明书也提示投资者,芯碁微装得发展也面临多种风险因素。其中第十一条指出,芯碁微装得核心零部件等主要向日本Nichia Corporation和美国Texas Instruments或其代理商等境外供应商采购,面临着供应商集中度较高得风险,而且受到日本、美国贸易政策变化影响。根据招股说明书,芯碁微装专业从事以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发、制造、销售以及相应的维保服务,主要产品及服务包括PCB 直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他激光直接成像设备以及上述产品的售后维保服务,产品功能涵盖微米到纳米的多领域光刻环节。PCB 直接成像设备及自动线系统(PCB 系列)在 PCB 领域,芯碁微装提供全制程高速量产型的直接成像设备,最小线宽涵盖8μm-75μm范围,主要应用于 PCB 制造过程中的线路层及阻焊层曝光环节,是 PCB 制造中的关键设备之一。在最小线宽指标方面,芯碁微装的ACURA 280 产品能够实现8μm的最小线宽,满足目前PCB领域最高端的IC载板制造要求;在产能指标方面, 公司 TRIPOD100T 单机产品能够在最小线宽 35μm、对位精度±12μm 的条件下 实现 300 面/小时的产能,MAS 15T 单机产品能够在最小线宽 15μm、对位精度 ±8μm 的条件下实现 270 面/小时的产能。在市场覆盖方面,芯碁微装该类产品已成功实现对深南电路、胜宏科技、博敏电子、柏承科技、台湾软电、迅嘉电子、富仕电子、科翔 电子、诚亿电子、宏华胜、景旺电子、相互股份、峻新电脑、普诺威、珠海元盛、华麟电路等客户的销售;在PCB阻焊曝光领域,发行人产品已经成功实现 对深南电路、景旺电子、罗奇泰克、红板公司、嘉捷通和珠海元盛等客户的销售。在技术实力方面,与大族激光、江苏影速、天津芯硕、中山新诺等国内同行业厂商相比较,芯碁微装大部分产品在核心技术指标方面具有比较优势,具有较强的市场地位;与以色列Orbotech、日本ORC、日本ADTEC等国际厂商相比较,芯碁微装产品在部分核心技术指标上还存在一定的差距。泛半导体直写光刻设备及自动线系统(泛半导体系列)在泛半导体领域,芯碁微装提供最小线宽在500nm-10μm的直写光刻设备,主要应用于下游IC掩膜版制版以及IC制造、OLED显示面板制造过程中的直写光刻工艺环节。在 OLED 显示面板直写光刻设备领域,为进一步提高设备整体产能,满足面板客户的小批量、多批次生产与研发的需要,芯碁微装开发了OLED直写光刻设备自动线系统(LDW-D1),采用多台 LDW X6 并联自动化生产,可以实现多个机台同时独立工作,整个自动线系统包括数个独立光刻机台和一个公用的机械传送装置,系统通过读码扫描生产信息进行参数调取,可以实时监测各个机台的运作情况并反馈到客户的MES系统,自动生成生产报表和生产日志报警信息,客户可以实时监控生产情况、修改生产工艺参数,从而保证产 品的品质。在市场覆盖方面,芯碁微装凭借技术、性价比、服务等优势已经获得维信诺、中国电子科技集团公司下属研究所、中国科学技术大学等业界知名客户、科研院所认可,有效提升了国产泛半导体直写光刻设备的市场知名度。同时,在该领域的技术研发实力和技术成果转化经验,将为其后续开展晶圆级封装 (WLP)直写光刻设备和 FPD 显示面板高世代产线直写光刻设备的产业化打下 坚实的基础。在技术实力方面,芯碁微装可比公司主要包括瑞典Mycronic、德国Heidelberg等国际厂商以及江苏影速、中山新诺、天津芯硕等国内厂商。该类产品的各项核心技术指标在国内厂商中整体处于较高水平,并在部分性能指标达到了德国Heidelberg竞品的水平,但与全球领先企业瑞典Mycronic相比较还具有较大的差距。发行概况根据招股说明书,本次募投资金主要用于高端PCB激光直接成像(LDI)设备升级迭代项目、晶圆级封装(WLP)直写光刻设备产业化项目、平板显示(FPD)光刻设备研发项目和微纳制造技术研究中心建设项目。附件:合肥芯碁微电子装备招股说明书.pdf
  • 八种光刻技术盘点 国产化进展喜人
    光刻是将掩模版上的图形转移到涂有光致抗蚀剂(或称光刻胶)的硅片上,通过一系列生产步骤将硅片表面薄膜的特定部分除去的一种图形转移技术。光刻技术是借用照相技术、平板印刷技术的基础上发展起来的半导体关键工艺技术。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级范围。光刻技术成为一种精密的微细加工技术。随着技术的发展,光刻技术不断推陈出新,出现了很多针对某几种用途的专门技术,在此特为大家盘点介绍一些光刻技术。掩模光刻掩膜光刻由光源发出的光束,经掩膜版在感光材料上成像,具体可分为接近、接触式光刻以及投影光刻。相较于接触式光刻和接近式光刻技术,投影式光刻技术更加先进,通过投影的原理能够在使用相同尺寸掩膜版的情况下获得更小比例的图像,从而实现更精细的成像。目前,投影式光刻在最小线宽、对位精度、产能等核心指标方面能够满足各种不同制程泛半导体产品大规模制造的需要,成为当前 IC 前道制造、IC 后道封装以及 FPD 制造等泛半导体领域的主流光刻技术。根据光源不同,掩模光刻机还可以分为紫外光源(UV)、深紫外光源(DUV)、极紫外光源(EUV)。为了提供波长更短的光源,极紫外光源(EUV)为业界采用。目前主要采用的办法是将二氧化碳激光照射在锡等靶材上,激发出13.5 nm的光子,作为光刻机光源。目前仅有由荷兰飞利浦公司发展而来的ASML(阿斯麦)一家可提供可供量产用的EUV光刻机。这是目前最先进的光刻技术。X射线光刻X射线因为波长很短,所以几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于MEMS技术当中。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。X射线光源最大的优势在于他可以做出高深宽比的图形,但是最大的问题也是由于他的穿透性太强导致了无法用透镜进行放大和缩小,因此图形尺寸和掩模版的尺寸相同,所以X射线光刻过分依赖电子束光刻掩模版的精度,故目前没有大量普及。离子束光刻离子束投影曝光系统的结构和工作原理与光学投影曝光的结构与原理类似,所不同的是曝光粒子是离子、光学系统采用离子光学系统,而掩模版则由可通过和吸收离子的材料制备。离子束曝光掩模版通常采用Si材料制成投射/散射式的二相掩模版技术。离子束投射光学系统一般也采用4:1缩小的投射方式,透镜实际上是一个可对离子进行聚焦作用的多电极静电系统。常见的离子束光刻技术包括聚焦离子束光刻(FIB)和离子投影光刻(IPL)。FIB系统采用液态金属离子源,加热同时伴随着一定的拔出电压获得金属离子束,通过质量选择器来选择离子,通过电子透镜精细聚焦的金属离子,在偏转线圈的作用下,形成扫描光栅。离子束可通过溅射对样品进行表面成像。聚焦式离子束技术是利用静电透镜将离子束聚焦成非常小尺寸(与电子束直写光刻技术类似。不需要掩膜板,应用高能粒子朿直写。离子投影曝光( lPL)是将平行的离子束穿过掩膜,将缩小的招膜图形投射到基底上,使用PMMA光刻胶。当具有一定能量的离子撞击靶材表面时两者之间会发生一系列的交互作用,其中包括膨胀、刻蚀、沉积、铣削、注入、背散射和形核反应等。主要用于制作修复掩膜版和对晶直接光刻。但离子束光刻存在离子源制备,掩膜板畸变,衬底工艺损伤,效率低等问题,很难在生产中作为曝光工具应用,目前主要用作VISI中的掩模修补工具和特殊器件的修整。电子束曝光电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。纳米压印技术纳米压印技术是一种新型的微纳加工技术。该技术通过机械转移的手段,达到了超高的分辨率,有望在未来取代传统光刻技术,成为微电子、材料领域的重要加工手段。纳米压印技术,是通过光刻胶辅助,将模板上的微纳结构转移到待加工材料上的技术。报道的加工精度已经达到2纳米,超过了传统光刻技术达到的分辨率。这项技术最初由美国普林斯顿大学的Stephen. Y. Chou(周郁)教授在20世纪90年代中期发明。由于纳米压印技术的加工过程不使用可见光或紫外光加工图案,而是使用机械手段进行图案转移,这种方法能达到很高的分辨率。报道的最高分辨率可达2纳米。此外,模板可以反复使用,无疑大大降低了加工成本,也有效缩短了加工时间。因此,纳米压印技术具有超高分辨率、易量产、低成本、一致性高的技术优点,被认为是一种有望代替现有光刻技术的加工手段。热探针扫描技术热扫描探针光刻(t-SPL)是近年来新开发出的一种光刻技术,其与当今的电子束光刻(EBL)相比具有更多的优势:首先,热光刻显改善了二维晶体管的质量,抵消了肖特基势垒,阻碍了金属与二维衬底交界处的电子流动;与电子束光刻(EBL)不同,热光刻技术使芯片设计人员能够轻松地对二维半导体进行成像,之后在需要的地方对电极进行图案化; 此外,热扫描探针光刻(t-SPL)制造系统有望在初期节省成本;最后,通过使用平行热探针,能够轻松地将该热制造方法推广到批量的工业生产当中。成本更低,有望成为当今电子束光刻的替代品。激光直写技术激光直写技术是一种近年来应用广泛的超精密加工技术。激光直写是利用强度可变的激光束对基片表面的抗蚀材料实施变剂量曝光,显影后在抗蚀层表面形成所要求的浮雕轮廓。激光直写系统的基本工作原理是由计算机控制高精度激光束扫描,在光刻胶上直接曝光写出所设计的任意图形,从而把设计图形直接转移到掩模上。激光直写技术主要用于制作平面计算全图、掩模、微透镜、微透镜阵列、Fresnel微透镜、Fresnel波带板、连续位相浮雕的闪耀光学元件等,制作工艺己经逐渐成熟。激光直写技术的发展趋势是从直角坐标写入系统到极坐标写入系统,直至多功能写入系统;从基片小尺寸到大尺寸,从平面写入到球面、柱面以及曲面;从利用光刻胶材料到聚合物以及其他特殊工艺材料;写入元件的特征尺寸从几百微米到亚微米;元件制作时间从几天到几小时甚至几分钟;从制作二值图样到写入连续浮雕轮廓 从光学元件到微电子、集成电路、集成光学器件等;从发达的国家到发展中国家,并己经应用到空间光学、光通讯、光学显示等领域,为DOE和微电子、微光学、微机械器件的制作提供了一种新的制作设备。多光子聚合光刻技术双光子聚合是物质在发生双光子吸收后所引发的光聚合过程。双光子吸收是指物质的一个分子同时吸收两个光子的过程,只能在强激光作用下发生,是一种强激光下光与物质相互作用的现象,属于三阶非线性效应的一种。双光子吸收的发生主要在脉冲激光所产生的超强激光的焦点处,光路上其他地方的激光强度不足以产生双光子吸收,而由于所用光波长较长,能量较低,相应的单光子过程不能发生,因此,双光子过程具有良好的空间选择性。一般利用双光子聚合制造3D打印机,可以实现突破传统光学衍射极限的增材制造。不过,华中科技大学的甘棕松教授发明的超分辨纳米光刻技术利用光刻胶双光子吸收特性,采用双束光进行光刻,一束为飞秒脉冲激光,经过扩束整形进入到物镜,聚焦成一个很小的光斑,光刻胶通过双光子过程吸收该飞秒光的能量,发生光物理化学反应引发光刻胶发生固化;另外一束为连续激光,同样经过扩束整形后,进入到同一个物镜里,聚焦形成一个中心为零的空心状光斑,与飞秒激光光斑的中心空间重合,光刻胶吸收该连续光的能量,发生光物理化学反应,阻止光刻胶发生固化。两束光同时作用,最终只有连续光空心光斑中心部位的地方被固化。甘棕松教授目前已经把空心光斑中心部位最小做到9nm,至此突破光学衍射极限的超分辨光刻技术在常规光刻胶上得以完美实现。光刻机国产化现状虽然各种光刻技术不断涌现,但相比于传统的紫外掩模光刻技术而言,大都在工业量产中都无法完全克服生产效率低、对准精度低、分辨率低等缺点。目前,应用较多的光刻技术主要为EUV、DUV等掩模光刻技术,用于工业量产,也是最受关注的光刻技术。公开资料显示,中国最强的光刻机生产商是上海微电子装备公司(SMEE),主要研发DUV光刻机,目前其最先进的SSA600/20光刻机分辨率可达90nm。上海微电子是国内唯一从事研发、生产以及销售高端光刻机的公司,也是全球第四家生产IC前道光刻机的公司。在2020年,金融局走访调研上海微电子时,上海微电子预计将于2022年交付首台28nm工艺国产沉浸式光刻机,国产光刻机将从此前的90nm制程一举突破到28nm制程。上海微电子在中端先进封装光刻机和LED光刻机领域技术领先,先进封装光刻机国内市场占有率高达80%、全球市场占有率达40%,LED光刻机市场占有率第一。实际上,02专项要求实现半导体设备28nm制程的国产化,目前国望光学的物镜、科益虹源的光源、华卓精科的双工件台、启尔机电的浸液系统等零部件都已实现突破,只差上海微电子光刻机集成。位于北京亦庄的国产验证28nm产线也预计明年投产,届时上海微电子的28nm光刻机有望导入产线,实现28nm光刻设备的国产化替代。此外,国产EUV量产型光刻机目前仍在开发中,中国科学院长春光学精密机械与物理研究所在2016年验收了原理技术样机,合工大已开发出DPP-EUV光源,但功率较低。电子束光刻目前国内主要由电工所在开发,但相比于国际厂商还存在差距。而纳米压印技术国内的主要厂商为青岛天仁微纳,现已成为纳米压印领域市场占有额超过95%的头部企业,建立了自主知识产权的核心技术与专利壁垒,设备销售遍布国内知名大学科研院所和企业。激光直写光刻设备主要国产厂商包括江苏速影、合肥芯碁等,与国际巨头Heidelberg、矽万等相比,技术差距正逐渐缩小。光刻设备的国产化不仅推动了半导体产业的进步,同时也推动了国产仪器市场的发展。笔者从其他渠道了解到,上海微电子也采购了某国产双频激光干涉仪。由于最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键零部件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。随着国内仪器设备的技术进步,上海微电子通过采购国产零部件集成先进的光刻机,促进了国产仪器市场发展。目前,主流光刻设备厂商包括,ASML、Nikon、Canon、上海微电子、合肥芯碁、Heidelberg、江苏速影、矽万、SUSS、苏大维格、Veeco、光机所、EVG、ABM、苏州源卓、合肥芯硕、长春长光中天、中国电科、大族激光、中山新诺等。更多仪器请查看以下专场【光刻机】【电子束刻蚀】。
  • 各大学5亿元光刻设备采购意向汇总
    近日,科学仪器行业迎来了前所未有的利好消息。2022年9月13日,国务院常务会议决定对部分领域设备更新改造贷款阶段性财政贴息和加大社会服务业信贷支持,政策面向高校、职业院校、医院、中小微企业等九大领域的设备购置和更新改造。贷款总体规模预估为1.7万亿元。 2022年9月28日,财政部、发改委、人民银行、审计署、银保监会五部门联合下发《关于加快部分领域设备更新改造贷款财政贴息工作的通知》(财金〔2022〕99号),对2022年12月31日前新增的10个领域设备更新改造贷款贴息2.5个百分点,期限2年,额度2000亿元以上。因此今年第四季度内更新改造设备的贷款主体实际贷款成本不高于0.7%(加上此前中央财政贴息2.5个百分点)。这两大重磅政策提供极低利息的贷款给消费端提前进行设备购置和更新改造,推动我国仪器市场迎来新一波仪器采购大潮。仪器信息网注意到,10月份以来,各大高校发布了众多半导体设备采购意向。仪器信息网特汇总统计了光刻设备相关的采购意向,含激光直写设备和电子束曝光机,总预算超5亿元。光刻设备相关的采购意向汇总序号项目名称预算金额(万元)采购单位发布时间预计采购时间查看1电子束光刻机3000清华大学2022/10/7 14:09Nov-22意向原文2飞秒激光三维直写系统400清华大学2022/10/7 14:09Nov-22意向原文3激光直写设备600清华大学2022/10/7 14:09Nov-22意向原文4接触式光刻机700清华大学2022/10/7 14:09Nov-22意向原文5步进式光刻机3000清华大学2022/10/8 8:29Nov-22意向原文6自旋科技研究院购置电子束曝光系统项目498华南理工大学2022/10/8 16:35Nov-22意向原文7聚焦离子束-电子束曝光系统(FIB-EBL)820哈尔滨工程大学2022/10/9 17:08Nov-22意向原文8集成电路学院激光直写光刻机采购452.9中山大学2022/10/10 15:57Nov-22意向原文9集成电路学院接触式光刻机(微米级)采购357.7中山大学2022/10/10 15:57Nov-22意向原文10电子束光刻系统1200华南理工大学2022/10/10 17:23Nov-22意向原文11化学化工学院双光子三维激光直写系统设备采购项目400兰州大学2022/10/10 21:24Nov-22意向原文12电子束光刻系统1200华南理工大学2022/10/12 8:40Nov-22意向原文13电子与信息工程学院步进式光刻机采购项目4300中山大学2022/10/13 10:55Nov-22意向原文14电子与信息工程学院接触式曝光机采购项目330中山大学2022/10/13 10:55Nov-22意向原文15电子与信息工程学院无掩模板紫外光刻机采购项目216中山大学2022/10/13 10:55Nov-22意向原文16电子与信息工程学院无掩膜激光直写曝光机采购项目500中山大学2022/10/13 10:55Nov-22意向原文17中国药科大学微纳米光刻机(精密对位曝光系统)项目230中国药科大学2022/10/13 14:28Nov-22意向原文18光刻机3465北京化工大学2022/10/13 15:52Nov-22意向原文19微立体光刻精密加工系统260吉林大学2022/10/13 17:47Nov-22意向原文20材料学院紫外光刻机采购项目180中山大学2022/10/13 22:58Nov-22意向原文21扫描电子显微镜FEI Quanta450-电子束曝光升级模块147.6中山大学2022/10/13 22:58Nov-22意向原文22超高分辨率的电子束光刻(EBL)采购项目1600中山大学2022/10/14 9:01Nov-22意向原文23激光直写式光刻机160吉林大学2022/10/14 11:51Nov-22意向原文24激光无掩膜光刻系统200中山大学2022/10/14 16:27Dec-22意向原文25物理科学与技术学院紫外光刻机45兰州大学2022/10/14 16:51Nov-22意向原文26物理学院/部门+光刻机采购项目23兰州大学2022/10/14 16:51Nov-22意向原文27紫外掩膜曝光光刻机采购200中山大学2022/10/14 19:14Nov-22意向原文28分析测试中心无掩模激光直写系统采购项目460北京理工大学2022/10/17 13:59Dec-22意向原文29电子束光刻系统1200华南理工大学2022/10/18 8:25Nov-22意向原文30光刻机380华中科技大学2022/10/18 17:33Nov-22意向原文31无掩膜光刻200华中科技大学2022/10/18 17:33Nov-22意向原文32掩膜对准光刻机380华中科技大学2022/10/18 17:33Nov-22意向原文33电子束光刻机500山东大学2022/10/18 22:01Nov-22意向原文34分子束外延(MBE)系统真空电子束曝光(EBL)系统采购1800华南理工大学2022/10/19 8:23Nov-22意向原文35聚焦电子束光刻系统640东北师范大学2022/10/20 11:15Nov-22意向原文368寸光刻机600东南大学2022/10/20 16:00Nov-22意向原文37激光直写曝光系统450浙江大学2022/10/25 14:17Nov-22意向原文38DUV塔尔博特光刻680同济大学2022/10/25 20:43Dec-22意向原文39电子束光刻机3500同济大学2022/10/25 20:43Dec-22意向原文40激光直写设备750同济大学2022/10/25 20:43Dec-22意向原文41双面对准光刻机450同济大学2022/10/25 20:43Dec-22意向原文42光刻机420华中科技大学2022/10/28 14:30Dec-22意向原文43掩膜对准光刻机420华中科技大学2022/10/28 14:30Dec-22意向原文44电子束曝光系统297北京大学2022/10/28 15:04Dec-22意向原文45高分辨掩膜光刻机采购390西南大学2022/11/1 20:27Dec-22意向原文46中国科学院大学集成电路学院计算光刻软件采购项目140中国科学院大学2022/11/2 16:21Nov-22意向原文47超高精密微立体光刻加工系统279厦门大学2022/11/2 17:01Dec-22意向原文48双光子灰度对准光刻机690天津大学2022/11/3 13:24Dec-22意向原文49无掩膜光刻机320天津大学2022/11/3 13:24Dec-22意向原文50电子束曝光机维保160中国科学院微电子研究所2022/11/3 19:55Nov-22意向原文51光刻机360吉林大学2022/11/4 8:26Dec-22意向原文52物理学院原位光谱激光直写加工系统600北京航空航天大学2022/11/5 12:57Dec-22意向原文53高精度电子束曝光机870北京师范大学2022/11/7 18:55Dec-22意向原文54集成电路学院电子束曝光机采购1400中山大学2022/11/9 15:51Dec-22意向原文55TX-电子束曝光(EBL)1800华中科技大学2022/11/9 18:22Dec-22意向原文56TX-对准光刻与晶圆键合机490华中科技大学2022/11/9 18:22Dec-22意向原文57TX-高精度无掩膜光刻机500华中科技大学2022/11/9 18:22Dec-22意向原文58TX-晶圆划片道直写填充装备420华中科技大学2022/11/9 18:22Dec-22意向原文59TX-深紫外光刻机(DUV)4200华中科技大学2022/11/9 18:22Dec-22意向原文60激光直写光刻机400浙江大学2022/11/10 14:56Dec-22意向原文61面向国家“卡脖子”技术需求的工业芯片设计与制造全流程技术平台--X-射线衍射仪、紫外光刻机、步热分析仪500东北大学2022/11/10 18:35Dec-22意向原文62台式微纳结构高速直写系统180华东师范大学2022/11/11 8:46Nov-22意向原文
  • 这类仪器国产率仅11%,德国产品最受欢迎—全国共享光刻设备盘点
    光刻机被业界誉为集成电路产业皇冠上的明珠,又名:掩模对准曝光机,曝光系统,光刻系统等,是制造芯片的核心装备。它采用类似照片冲印的技术,把掩膜版上的精细图形通过光线的曝光印制到硅片上。但这种光刻机主要用于工业生产,对于半导体器件等研发来说,先进的紫外光刻机显得昂贵且笨重,同时由于其对光刻速度不敏感,因此科研领域往往使用激光直写设备和电子束曝光机来处理光刻胶。但一直以来,对科研用光刻设备缺乏调查。1月22日,科技部和财政部联合发布《科技部 财政部关于开展2021年度国家科技基础条件资源调查工作的通知(国科发基〔2020〕342号)》,全国众多高校和科研院所将各种科学仪器上传共享,对其中光刻设备的统计分析或可一定程度反映科研用光刻设备的市场信息。小编特对其进行分类统计,供读者一阅。各省(直辖市/自治区)光刻设备分布各省(直辖市/自治区)光刻设备分布图根据统计数据,共享光刻设备的总数量为291台,涉及22省(直辖市/自治区)。北京、江苏、广东、上海为共享光刻设备最多的地区,其中北京的数量最多,达83台。北京共享科研光刻设备数量较多,主要是由于其实力强劲的高等院校较多,其科研经费充足,可以购买更多的设备。这四个地区的经济发展水平在全国名列前茅,而且半导体产业发达,对光刻设备的需求也更高。进一步统计发现,光刻设备主要分布于北京大学、中科院半导体研究所、中国科学技术大学和清华大学。不同类型光刻设备分布根据搜集到的数据可知,传统的紫外光刻机占据了主流,占比达58%。电子束曝光机和激光直写设备占比都为21%。电子束曝光机主要用于科研领域和掩模版制作,但由于其刻蚀速率太低,无法用于量产,因此主要用于科研或掩模版制作,但电子束曝光机是半导体制造的基础设备。虽然这其中紫外光刻机仍然占据主流,但与《2020光刻设备中标盘点:疫情之后,市场活力回升! 》中的占比相比,其占比少得多,这主要由于科研对光刻速率要求不敏感,而电子束曝光机和激光直写设备可以在一定程度上满足科研需求。光刻设备品牌分布紫外光刻机品牌分布电子束曝光机品牌分布激光直写设备品牌分布从光刻设备的整体品牌分布图可以看到,德国SUSS的光刻设备占比最多达26%,其次为美国ABM和德国Raith分别为13%和9%。需要注意的是,Raith是电子束曝光机厂商。具体到传统紫外光刻机品牌分布可以发现,SUSS占比高达45%,ABM占比达22%,SUSS在科研用紫外光刻机占据主流。全球光刻巨头ASML、尼康和佳能都不在其中,这表明工业用光刻设备和科研用光刻设备的需求不同,厂商也有所不同。在电子束曝光机中,Raith占比达45%。在激光直写设备中,德国Heidelberg占比26%,虽然占比最高,但其未呈现出压倒性优势,而且国内设备厂商苏大维格在此类设备中也占据一定份额。以上三类设备中,只有激光直写设备中前排出现了国产品牌,这可能得益于我国先进的激光技术。光刻设备产地国家分布紫外光刻机产地分布电子束曝光机产地分布激光直写设备产地分布从光刻设备的产地分布可以看出,德国设备最受国内科研用户青睐,占比达45%,而国产设备仅占11%的份额。对于紫外光刻机来说,德国占比46%,美国25%。电子束曝光机的设备中,德日占据主流,德国主要是Raith设备较多,日本凭借其强大的电子显微镜技术也占据一定的市场份额,这主要是由于电子显微镜和电子束曝光机的技术有共通之处。虽然在激光直写设备中,德国设备占比仍然最多,但国产厂商也不甘落后达34%。不同于工业领域的日本厂商和荷兰ASML的垄断,科研领域光刻厂商中,德国企业实力雄厚,涌现出一批实力强大的企业。国产厂商整体虽然占比很低,但在激光直写设备中显示出了强大的活力。本次光刻设备中标盘点,涉及品牌有Raith、SUSS、ABM、Heidelberg、DMO、Nikon、EVG、JEOL、NBL等。其中,各品牌比较受欢迎的产品型号有:德国海德堡多功能无掩膜激光直写机/光刻机-DWL66+DWL66+ 激光光刻系统是具经济效益、具有高分辨率的图形发生器。适用于小批量掩膜版制作和直写需求。DWL66+拥有多种选配模块,例如:正面和背面对准系统;405nm和375nm波长的激光发生器;进阶选配:精度校准和自动上下板加载系统。单面/双面光刻机:EVG 620EVG620 是一款非常灵活和可靠的光刻设备,可配置为半自动也可以为全自动形式。EVG620既可以用作双面光刻机也可以用作150mm硅片的精确对准设备;既可以用作研发设备,也可以用作量产设备。精密的契型补偿系统配以计算机控制的压力调整可以确保良率和掩膜板寿命的大幅提升,进而降低生产成本。EVG620先进的对准台设计在保证精确的对准精度和曝光效果的同时,可以大幅提高产能。德国Raith高分辨电子束曝光机150 TwoRaith 150 Two作为高分辨电子束曝光系统,自推出以来全球销量不容忽视。该系统被广泛地用于研发和纳米技术中心,已证明了系统的24/7使用的稳定性。Raith 150 Two 可实现亚5nm的曝光结构,可处理8”晶元及以下样片。环境屏蔽罩保证了系统的热稳定性,提高设备对实验室环境的容忍度,即使在相对糟糕的实验室环境下,也能保证系统的正常稳定运行。德国 SUSS光刻机MA/BA6MA/BA6掩模和粘结对准器专为最大 150 mm 晶圆尺寸而设计。 MA/BA6用于MEMS 应用、光学元件和复合半导体生产。 它在研究与开发环境中的多方面应用领域非常有说服力,在生产环境中也同样优秀,这得益于其良好的工艺成果。此外,SUSS的MJB系列,ABM的ABM/6/350/NUV/DCCD/M等产品也广受欢迎。
  • 全球最小的三维纳米雄鸡贺卡,3D纳米激光直写设备NanoFrazor专业定制
    金鸡报晓已迎春,元宵临近聚福门,Quantum Design China恭祝大家新春愉快,元宵吉祥。上图这幅立体逼真的画作是 Quantum Design China专为您打造的新年特别礼物。看到图像右面的坐标轴,是不是很惊讶?没错,这不是一幅手绘作品,而是借助SwissLitho公司制造的3D纳米结构高速直写设备—NanoFrazor专业定制的三维纳米雄鸡贺卡! 这幅雄赳赳气昂昂的鸡年贺卡,其尺寸仅有10μm*10μm,深度差为50nm,是目前全球小的三维纳米鸡年贺卡。整只雄鸡的微纳尺寸,以及鸡身立体的轮廓和清晰的线条,都体现了3D纳米结构高速直写机NanoFrazor让人膜拜的高直写精度(XY: 10nm, Z: 1nm)、高形貌感知灵敏度(0.1nm),另外还有高速直写,无需显影,实时观察直写效果,无临近效应,无电子/离子损伤等有的特点。 NanoFrazor纳米3D结构直写机的问世,源于发明STM和AFM的IBM苏黎世研发中心,是其在纳米加工技术的新研究成果。NanoFrazor纳米3D结构直写机采用直径为5nm的探针,通过静电力控制实现直写3D高精度直写,并通过悬臂一侧的热传感器实现实时的形貌探测,次将纳米尺度下的3D结构直写工艺快速化、稳定化。该技术自问世以来已经多次刷新了上小3D立体结构的尺寸,创造了上小的马特洪峰模型,小立体地图,小刊物封面等记录。2016年10月,瑞士Swisslitho公司又发布了一款NanoFrazor Scholar,这款小型的纳米加工设备竟然可以放置在实验室桌面上,而且分辨率依然可达到XY:10nm;Z:2nm,轻松实现小于20nm的线宽与间距,更加便于课题组内进行纳米原型器件、微纳光学/光子学/磁学,NEMS、超材料等领域纳米机构与器件的设计与制备,是纳米结构和器件加工制备领域的之选。 2017的年味儿少不了科学的情怀,少不了我们对未知的探索和追求,带着NanoFrazor专业定制的全球小的三维纳米雄鸡贺卡,Quantum Design China祝愿大家在新的科学年中创意无限,收获满满!2017,Quantum Design China将继续伴您左右,提供丰富、的科研设备,便捷、专业的售后服务,助力您的科学研究更有说服力,更具创造力! 相关产品: 3D纳米结构高速直写机NanoFrazor: http://www.instrument.com.cn/netshow/C226568.htm小型台式无掩模光刻系统: http://www.instrument.com.cn/netshow/C155920.htm
  • 总预算3.5亿元!各高校11月发布的光刻设备采购意向盘点
    2022年9月13日,国务院常务会议决定对部分领域设备更新改造贷款阶段性财政贴息和加大社会服务业信贷支持,政策面向高校、职业院校、医院、中小微企业等九大领域的设备购置和更新改造。贷款总体规模预估为1.7万亿元。2022年9月28日,财政部、发改委、人民银行、审计署、银保监会五部门联合下发《关于加快部分领域设备更新改造贷款财政贴息工作的通知》(财金〔2022〕99号),对2022年12月31日前新增的10个领域设备更新改造贷款贴息2.5个百分点,期限2年,额度2000亿元以上。因此今年第四季度内更新改造设备的贷款主体实际贷款成本不高于0.7%(加上此前中央财政贴息2.5个百分点)。这两大重磅政策提供极低利息的贷款给消费端提前进行设备购置和更新改造,推动我国仪器市场迎来新一波仪器采购大潮。仪器信息网注意到,11月份以来,各大高校发布了众多半导体设备采购意向。仪器信息网特汇总统计了光刻设备相关的采购意向,含激光直写设备和电子束曝光机,总预算约3.5亿元。11月发布的光刻设备相关的采购意向汇总序号项目名称预算金额(万元)采购单位预计采购时间意向原文1TX-深紫外光刻机(DUV)4200华中科技大学Dec-22意向原文2电子束光刻机3000清华大学Dec-22意向原文33D电子束光刻设备2800武汉大学Dec-22意向原文4电子直写设备2500复旦大学Dec-22意向原文5电子直写设备1800复旦大学Dec-22意向原文6TX-电子束曝光(EBL)1800华中科技大学Dec-22意向原文7电子束曝光系统1400浙江大学Dec-22意向原文8集成电路学院电子束曝光机采购1400中山大学Dec-22意向原文9100kV电子束曝光机1300浙江大学Dec-22意向原文10电子束曝光系统1280上海交通大学Dec-22意向原文11100kV电子束曝光机1150浙江大学Dec-22意向原文12电子束扫描直写系统910南开大学Dec-22意向原文13高精度电子束曝光机870北京师范大学Dec-22意向原文14双光子灰度对准光刻机690天津大学Dec-22意向原文15高性能激光直写系统650上海交通大学Dec-22意向原文16TX-高精度无掩膜光刻机500华中科技大学Dec-22意向原文17激光直写光刻系统500山东大学Jan-23意向原文18TX-对准光刻与晶圆键合机490华中科技大学Dec-22意向原文19双光子三维光刻系统480复旦大学Dec-22意向原文20激光直写系统420南开大学Dec-22意向原文21电子束光刻系统400武汉大学Dec-22意向原文22激光直写光刻机400浙江大学Dec-22意向原文23激光直写设备400重庆大学Dec-22意向原文24高分辨掩膜光刻机采购390西南大学Dec-22意向原文25光刻机360吉林大学Dec-22意向原文26电子束曝光系统350大连理工大学Nov-22意向原文27光刻机350清华大学Dec-22意向原文28无掩膜曝光机350清华大学Dec-22意向原文29三维微打印光刻系统340上海交通大学Dec-22意向原文30接触式紫外光刻机320清华大学Dec-22意向原文31无掩膜光刻机320天津大学Dec-22意向原文32集成电路科学与工程学院8英寸高精度光刻机284北京航空航天大学Dec-22意向原文33超高精密微立体光刻加工系统279厦门大学Dec-22意向原文34掩模版对准光刻系统270上海交通大学Dec-22意向原文35光刻机235大连理工大学Nov-22意向原文36大连理工大学面投影微立体光刻技术微尺度3D打印机采购(贷款项目)200大连理工大学Nov-22意向原文37紫外掩膜光刻机系统199大连理工大学Nov-22意向原文38光刻机199清华大学Dec-22意向原文39台式微纳结构高速直写系统180华东师范大学Nov-22意向原文40规模化集成电路无掩膜激光直写系统175北京科技大学Nov-22意向原文41激光直写光刻机系统175大连理工大学Nov-22意向原文42电子束曝光机维保160中国科学院微电子研究所Nov-22意向原文43北京理工大学激光直写设备采购145北京理工大学Dec-22意向原文44高精度无掩模纳米光刻微加工系统145浙江大学Dec-22意向原文45中国科学院大学集成电路学院计算光刻软件采购项目140中国科学院大学Nov-22意向原文 本次采购共含45项相关采购意向,其中16项为电子束曝光机。其中大部分采购定于12月份。采购预算最高的是深紫外DUV光刻机,价值4200万元,其次为电子束曝光机,价值3000万元。通知:免费学习+直播抽奖|第三届“半导体材料、器件研究与应用”网络会议即将召开为加速国内半导体材料及器件发展,促进国内半导体材料与器件领域的人员互动交流,推动我国半导体行业的高质量发展。仪器信息网联合电子工业出版社将于2022年12月20-22日举办第三届“半导体材料与器件研究及应用”主题网络研讨会,围绕光电材料与器件、第三代半导体材料与器件、传感器与MEMS、半导体产业配套原材料等热点议题,为国内广大半导体材料与器件研究、应用及检测的相关工作者提供一个突破时间地域限制的免费学习平台,让大家足不出户便能聆听到相关专家的精彩报告。为回馈线上参会网的支持,增进会议线上交流互动,会务组决定在会议期间增设多轮抽奖环节,欢迎大家报名参会。同时,只要报名参会并将会议官网分享微信朋友圈积赞30个可以获得《2021年度科学仪器行业发展报告》(独家首发)一本,报名参会进群还将获得半导体相关学习电子资料压缩包一份。会议同期,还有部分赞助厂商将抽取幸运观众,邮寄企业周边产品。本次会议免费参会,参会报名请点击会议官网:https://insevent.instrument.com.cn/t/Mia (内容更新中)或扫描二维码报名
  • 我国学者成功开发新型5 nm超高精度激光光刻加工方法
    p & nbsp & nbsp & nbsp & nbsp 近日,中国科学院苏州纳米技术与纳米仿生研究所张子旸研究员与国家纳米中心刘前研究员合作,在NanoLetters上发表了研究论文,报道了一种他们开发的新型5nm超高精度激光光刻加工方法。    /p p   据悉,研究团队设计开发了一种新型三层堆叠薄膜结构。在无机钛膜光刻胶上,采用双激光束交叠技术,通过精确控制能量密度及步长,实现了1/55衍射极限的突破,达到了最小5nm的特征线宽。 /p p   此外,研究团队利用这种超分辨的激光直写技术,实现了纳米狭缝电极阵列结构的大规模制备。同时,该团队还利用发展的新技术制备出了纳米狭缝电极为基本结构的多维度可调的电控纳米SERS传感器。 /p p   值得一提的是,研究团队所开发的具有完全知识产权的激光直写设备,利用了激光与物质的非线性相互作用来提高加工分辨率,其有别于传统的缩短激光波长或增大数值孔径的技术路径;并打破了传统激光直写技术中受体材料为有机光刻胶的限制,可使用多种受体材料,极大地扩展了激光直写的应用场景。 /p p   目前,该工作得到了国家重点研究计划项目、国家自然科学基金、Eu-FP7项目、中国博士后科学基金的支持。 /p
  • 微光刻人再相聚!第十二届微光刻技术交流会在合肥成功召开
    仪器信息网讯 9月22日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第三届年会暨第十二届微光刻技术交流会在合肥成功召开。本届会议由全国半导体设备和材料标准化技术委员会微光刻分技术委员会主办,合肥芯碁微电子装备股份有限公司(以下简称“芯碁微装”)承办。会议吸引了业界两百余位资深专家及企业代表参会。 会议现场会议伊始,由合肥市政府副市长赵明,全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦,中科院标准化管理委员会专家组麻云凤,合肥芯碁微电子装备股份有限公司董事长程卓以及市人大常委会副主任、合肥高新区党工委书记、管委会主任宋道军分别致辞。合肥市政府副市长 赵明 致辞全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长 陈宝钦 致辞中科院标准化管理委员会专家组 麻云凤 致辞合肥芯碁微电子装备股份有限公司董事长 程卓 致辞市人大常委会副主任、合肥高新区党工委书记、管委会主任 宋道军 致辞致辞结束后,大会进入2022年度微光刻技术交流会环节。大会首日交流会环节邀请了12位业界专家依次分享报告,对微光刻技术、微光刻设备和材料技术的发展趋势、最新研究成果及进展等展开深入的交流与探讨。上午的报告由中科院理论物理研究所研究员冯稷主持。中科院理论物理研究所研究员 冯稷 主持报告人 合肥芯碁微电子装备股份有限公司 陈东博士报告题目 《激光直写光刻技术及应用》芯碁微装的陈东博士从激光直写技术及其在泛半导体领域的应用两方面介绍了芯碁微装的技术与应用。据介绍,激光直写技术分为激光束直写式光刻、基于2D数字空间光调制器的直写式光刻等种类,其关键技术性能指标包括产能、解析、精度和稳定性等。当前直写激光已被应用于集成电路(掩模版、晶圆、晶圆级封装、功率半导体、第三代半导体等)、平板显示(LCD、OLED等高端显示)、纳米器件(MEMS、生物芯片、3D器件等)和高端PCB等领域。报告人 北京超弦存储器研究院 师江柳博士报告题目 《应用于前沿存储器工艺的先进光刻工艺研发介绍》师江柳在报告中详细介绍了北京超弦存储器研究院的情况,DRAM对先进光刻的需求以及研究院先进光刻工作三方面内容。据介绍,DRAM存储芯片市场份额巨大,发展前景广阔,是半导体产业的核心支柱之一。中国的DRAM市场接近全球的60%,但自给能力严重不足,且核心技术受制于人,亟需关键技术的突破。当前,北京超弦存储器研究院积极搭平台、引人才、建队伍、出成果,为国产存储器产业发展提供技术来源、协调资源调配、培养专业人才、实施知识产权保护,致力于成为国内存储器技术发展的“探路人”。报告人 上海交通大学教授 周林杰报告题目 《光电子芯片封装测试技术》当前硅基光电子正朝大规模集成应用发展,同时硅光技术促进了片上光互联、量子计算、激光雷达、光子计算新应用的蓬勃发展,但光芯片的封装和测试成本却高居不下。据周林杰介绍,硅光芯片封测面临硅间接带隙材料不能发光、硅波导与光纤模式失配大使得耦合效率低、电学控制端口数多和失效检测等问题,而光电子芯片封装测试平台可提供光电子芯片封装、光电子芯片及器件测试、失效分析等服务。报告中,周林杰详细介绍了平台的电学封装、光学封装和失效分析的技术,并举了大规模光开关和激光雷达芯片两个实例。报告人 中国科学院微电子研究所研究员 齐月静报告题目 《光刻精密测量技术》光刻机是半导体产业的重要装备,其中前道光刻机的生产厂家主要有ASML、Nikon、Canon和上海微电子。光刻机的核心指标包括分辨率、套刻精度和产率,核心分系统包括对准、步进扫描曝光和成像质量控制系统。报告中,齐月静详细介绍了光刻精密测量技术中的投影物镜波像差检测、对准和套刻的关系、对准原理、对准装置等内容。以上为上午的报告内容,下午的会议交流由清华大学刘泽文教授和中科院重庆研究院王德强研究员依次主持。清华大学教授 刘泽文 主持中科院重庆研究院研究员 王德强 主持报告人 合肥芯碁微电子装备股份有限公司总经理 方林报告题目 《芯碁光刻机发展历程》芯碁微装成立于2015年,总部位于中国合肥,于2021年4月1日在科创板正式挂牌上市,成为中国首家光刻设备上市企业。据介绍,芯碁微装致力于以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产,主要产品为PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他镭射直接成像系统。目前产品已广泛应用于IC芯片、掩模版、MEMS、生物芯片、PCB、Touch Panel、FPD、LED等影像转移领域。从2015年成立至今,通过自主研发,不断技术创新,芯碁微装的设备装机量已累计超过700台。报告人 长沙韶光芯材科技有限公司副总经理 彭博报告题目 《长沙韶光芯材科技有限公司》彭博在报告中介绍了长沙韶光芯材料科技有限公司的情况。据介绍,长沙韶光芯材科技有限公司1980年全套引进德国生产线作为分厂存在;2003年成为独立法人的国有公司;2011年改制为民营企业,经营层控股/员工持股;2022年引入股权投资。报告最后,彭博这样形容韶光芯材,“老牛亦解韶光贵,不待扬鞭自奋蹄”。报告人 青岛天仁微纳科技有限责任公司北区销售总监 李心报告题目 《天仁微纳 纳米压印光刻领导者》纳米压印光刻是光刻技术的一种,可以低成本加工微纳结构,在某些领域比投影光刻更具优势。李心表示,随着技术继续发展,在某些工艺节点,纳米压印技术有可能替代投影式光刻。目前,纳米压印已应用到消费类电子产品、车载光学、AR眼镜、生物芯片、显示等领域,随着纳米压印光刻技术日趋成熟,应用领域也会越来越多。报告中,李心还介绍了天仁微纳的发展历程、产品及生产线、解决方案等内容。报告人 锐时科技(北京)有限公司副总经理 朱国报告题目 《2022 Raith公司及最新应用简介》Raith于1980年创立,是一家先进的纳米加工、电子束光刻、聚焦离子束加工、纳米工程和逆向工程应用领域的精密技术解决方案提供商,总部位于德国多特蒙德,通过在荷兰,美国和亚洲(香港和北京)的子公司以及代理商和服务网络。朱国表示,Raith是纳米制造设备的全球市场和技术领导者,在全球安装了1100余套系统,开发了用于纳米结构打印和扫描的最高精度系统,经营着专门的产品平台战略,拥有最广泛的纳米制造系统产品组合。报告人 清华大学教授 刘泽文报告题目 《智能传感器与光刻技术创新-集成氮化镓MEMS传感器》刘泽文教授表示,智能传感时代已经到来,More-than-moore的系统集成值得引起光刻界的重视,基于新材料和新型加工技术的高性能传感器具有巨大的应用前景。光刻和微纳加工技术具有巨大的创新空间,创新永远在路上。创新成就的取得,需要加强企业和研究机构之间的学科和工程交叉合作,需要政府的综合协调和支持。报告人 北京汇德信科技有限公司销售技术工程师 王涛报告题目 《PHABLE紫外/深紫外光刻机特点及应用》王涛在报告中介绍了北京汇德信科技有限公司独家代理的产品-PHABLE紫外/深紫外光刻机。据介绍,该设备是泰伯效应(Talbot)光刻机(DTL技术),采用了非接触曝光的方式,具有纳米-微米级曝光分辨率,分为手动、自动机型,且可以实现大面积纳米周期图案。其中纳米级分辨率可达<50nm(DUV)、<100nm(UV),能实现4‘‘、6’‘、8’‘大面积图案化。此外,PHABLE曝光技术可以在曲面上进行曝光,最大高低差2-3mm表面上曝光纳米图形。报告人 南京南智先进光电集成技术研究院 王前进老师报告题目 《EBL在LNOI光电芯片制备的应用及问题》光电集成是后摩尔时代的可行路径之一。当前光电技术进入集成化发展阶段,产业即将迎来爆发。王前进表示,如果电子学革命的地理中心是以衬底材料来命名,即“硅材料”,那么光子学革命的诞生地应该以“泥酸锂”命名。而南智光电专注于薄膜铌酸锂特色工艺。据介绍,南智光电集成公共技术平台一期由南京市江北新区研创园投资兴建,系南京市首个光电集成领域开放式平台。平台一期占地5000平米,建有超净实验室2000平米,各类设备6000余万元,涵盖光刻、镀膜、刻蚀、封装等各工艺环节,有工艺及研发队伍40人。报告人 中国科学技术大学工程师 周典法报告题目 《光刻工艺装备的运行保障》周典法老师在报告中介绍了装备运行中的常见问题、装备的故障诊断和修复以及装备的运行保障措施。周典法表示,设备的运行保障以预防为主,需加强日常巡检,定期对易损件耗材进行更换和备件储备,确保电力供应正常,还要培养一位自家的硬件维修工程师。合影留念9月22日的微光刻技术交流会到此结束。23日,大会将召开2022年度先进光刻技术交流会、2022年度第三届微光刻分技术委员会年会和2022-2023年两届承办方交接牌仪式,并邀请专家参观合肥芯碁微电子装备股份有限公司产业链上/下游企业。
  • 飞秒激光直写双刺激协同响应的水凝胶微致动器研究获进展
    在自然界中生物能够对外界刺激做出反应并产生特定的形状变化,这种响应行为对生物体的生存和繁衍至关重要。在众多材料中,水凝胶因其模量适中,刺激响应条件多样以及生物相容性好等因素而引起了广泛关注。随着仿生学以及材料科学的发展,能够感知和响应外部刺激的智能水凝胶致动器在软体机器人、传感和远程操控等领域显示出良好的应用前景。目前,微加工技术已经将响应型水凝胶致动器的尺寸缩小到微米级。然而,如何在微尺度下构建能够对复杂的微环境进行多重响应的水凝胶微致动器仍然是一个挑战。   近日,中国科学院理化技术研究所研究员郑美玲团队在双刺激协同响应的水凝胶微致动器的研究工作中取得进展。团队通过非对称飞秒激光直写加工制备了一种双刺激协同响应的水凝胶微致动器。该水凝胶微结构对pH/温度的双重协同响应是通过添加功能单体2-(二甲基氨基)乙基甲基丙烯酸酯实现的。通过水凝胶微结构的拉曼光谱分析,解释了不同pH和温度下协同响应的产生机制,并且展示了由pH或温度控制的聚苯乙烯微球的捕获。该研究为设计和制造可控的微尺度致动器提供了一种策略,并在微机器人和微流体中具有应用前景。研究成果发表于Small 。   飞秒激光直写加工技术由于具有超高的空间分辨率、三维加工能力和无需实体掩膜等特点,被广泛用于制备各种三维微结构。研究人员利用含有功能单体的光刻胶,通过调整激光功率、扫描速度和扫描策略实现了具有不对称交联密度的双重响应水凝胶微结构的制备(图1)。   进一步地,研究人员制备了含有三个不对称微臂的微致动器来提高对不同环境的刺激响应能力。该微致动器由三个交联密度交替分布的微臂组成。为了更加方便地展示水凝胶微致动器在不同温度及pH条件下的可控性,研究还使用了直径10微米的聚苯乙烯微球作为目标颗粒在不同条件下进行捕获(图2)。   此外,研究人员还描述了一种具有双刺激协同响应特性的微致动器(图3),其具有的更为丰富的形状变化是由温度升高时的氢键断裂与酸性条件下叔胺基的质子化同时作用产生的。该研究提出的双重刺激协同响应特性相较于单一响应刺激赋予了微制动器更大的可操控性,这一特性使其在微操纵和微型软体机器人方面具有潜在应用。图1 双刺激协同响应型水凝胶微致动器的制备与响应机制图2 双重刺激响应型水凝胶微致动器的捕获行为图3 水凝胶微致动器的双重刺激协同响应特性
  • 1030万!哈尔滨工程大学电致发光器件综合特性测量系统及激光直写系统采购项目
    一、项目基本情况项目编号:HTCL-ZB-236129项目名称:哈尔滨工程大学电致发光器件综合特性测量系统及激光直写系统采购及服务预算金额:1030.000000 万元(人民币)最高限价(如有):1030.000000 万元(人民币)采购需求:1套电致发光器件综合特性测量系统,其他要求详见招标文件。1套激光直写系统,其他要求详见招标文件。合同履行期限:合同签订后12个月内完成所有设备到货、所有设备调试完毕并具备验收条件。本项目( 不接受 )联合体投标。二、获取招标文件时间:2023年11月06日 至 2023年11月10日,每天上午8:30至11:30,下午13:00至17:00。(北京时间,法定节假日除外)地点:黑龙江省招标有限公司方式:现场获取。售价:¥500.0 元,本公告包含的招标文件售价总和三、对本次招标提出询问,请按以下方式联系。1.采购人信息名 称:哈尔滨工程大学     地址:哈尔滨市南岗区南通大街145号        联系方式:0451-82519862      2.采购代理机构信息名 称:黑龙江省招标有限公司            地 址:哈尔滨市南岗区汉水路180号            联系方式:陆超、温智伟 电话:0451-82375252            3.项目联系方式项目联系人:陆超、温智伟电 话:  0451-82375252
  • 飞秒激光无掩膜光刻拓扑结构及细胞球浸润机制新进展
    随着组织工程领域的发展,生物材料界面与细胞的相互作用及物理机制成为研究热点。生物界面的拓扑形貌可以有效调控细胞行为并影响细胞功能。而体内的一些生理过程如胚胎发育、免疫应答和组织更新与重塑等往往涉及多细胞的集体行为。肿瘤的侵袭和转移也与集体细胞的协调运动有关。细胞球作为一种体外三维细胞培养模型,具有强烈的细胞-细胞相互作用,可在细胞生理学、信号通路、基因和蛋白表达以及气体/营养物质梯度等方面更好地模拟体内环境。因此,明确材料表面拓扑结构与细胞球的相互作用对探究体内生理、病理机制具有重要意义。然而,当前同时具有厘米级尺度和微纳米精度的跨尺度微纳拓扑结构尚难以快速制备。   近日,中国科学院理化技术研究所仿生智能界面科学中心有机纳米光子学实验室研究员郑美玲团队在跨尺度微纳拓扑结构制备及细胞球浸润性调控方面取得了新进展。该团队提出采用飞秒激光无掩膜投影光刻技术(MOPL)制备大面积兼具高精度的微盘阵列拓扑结构以研究细胞球的浸润性。该研究发现细胞球在多种不同单元直径的微盘阵列拓扑结构上展示出不同的浸润速度。研究通过分析细胞形态、骨架分布和细胞黏附,解析了细胞球浸润速度的变化机制,并发现了细胞球在大尺寸和小尺寸的微盘结构单元上采取不同的浸润模式。该研究揭示了细胞球对跨尺度微纳拓扑结构的响应机制,为探讨组织浸润行为提供了参考。   MOPL是一种高效率且能灵活化地制备微纳拓扑结构的技术。考虑到单个细胞的尺寸以及细胞球浸润过程中与大面积拓扑结构的相互作用,该工作利用MOPL技术制备了高度低于1μm,且拓扑单元直径分别为2、5、20和50 μm的大面积(8 mm × 10 mm)微盘阵列结构(图1)。   该研究采用超低吸附法制备了大小均一的人肾透明细胞癌细胞的细胞球。进一步,科研人员利用激光扫描共聚焦荧光显微镜对细胞球在微盘阵列拓扑结构上的动态浸润行为进行观察。细胞球在一系列微盘阵列拓扑结构上发生了完全浸润并展现出不同的浸润面积。结合细胞球铺展理论,通过量化不同时间点的细胞球浸润面积,研究发现细胞球的浸润速度在2、5、50和20 μm直径的微盘结构单元上依次减小,且细胞球在直径为20 μm的微盘结构单元上具有较小的细胞-基底黏附能(图2)。   进一步地,研究人员利用免疫荧光染色分析了多种不同微盘结构上的细胞形态、肌动蛋白和黏着斑分布,提出了细胞球在直径2μm和5 μm的小尺寸的微盘结构上采取攀爬模式浸润,以及在直径20μm和50 μm的较大尺寸的微盘结构上采取绕行模式浸润(图3)。细胞球的浸润过程表现为一种多细胞的集体协调运动。   该研究揭示了细胞球在各向同性微盘阵列拓扑结构表面的浸润机制,深化了对于细胞球与界面拓扑结构相互作用的认知。本工作是飞秒激光面投影纳米光刻技术及应用的拓展。相关研究成果发表在Small上。研究工作得到国家重点研发计划“纳米科技”重点专项、国家自然科学面上基金项目和中科院国际伙伴计划等的支持。
  • 一种有望替代电子束光刻的新技术
    目前光刻技术存在被美国“卡脖子”,不只是工业用的,包括科研用的电子束曝光机也只能购买到落后国外两三代的产品。而电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究,是半导体微电子制造及纳米科技的关键设备、基础设备。3D纳米结构高速直写机的技术起源光刻技术严重制约着我国半导体工业及科研领域的发展。近年来,一种基于热扫描探针光刻技术的产品3D纳米结构高速直写机有望替代电子束曝光机。3D纳米结构高速直写机(NanoFrazor)的主要技术起源于上世纪九十年代,由诺贝尔奖获得者Binnig教授在IBM Zurich实验室所主导的千足虫计划。该计划原本的目标是用类似原子力显微镜探针的热探针达到1Gb/s的高速数据存储读写。图1为千足虫计划中,制备的热探针的扫描电子显微图像。[1]图1. 千足虫计划所制备的热探针的扫描电子显微图像。[1]2010年后,研究团队逐渐把研究热点从数据的高速读写逐渐转向了扫描热探针用于高精度灰度光刻技术(t-SPL)。随着t-SPL技术的逐渐成熟,2014年推出了首款商业化高精度3D纳米结构高速直写机,NanoFrazor Explore 图2b)。为满足市场的不同需求,2017年推出台式系统NanoFrazor Scholar,图2a)。[2]图2 不同型号的NanoFrazor。a)为台式NanoFrazor Scholar系统,b)为旗舰型NanoFrazor Explore。[2]随后,于2019年无掩模激光直写系统被成功地整合到了旗舰型NanoFrazor Explore系统中,实现了在NanoFrazor中从微米加工到纳米加工的无缝衔接。有望替代电子束光刻技术目前NanoFrazor的技术主要用于科研院所的高端纳米器件制备,已有集成激光直写的系统以加快大尺寸大面积微纳米结构的刻写。由10根探针组成的探针阵列已经在Beta客户端测试中。在和IBM苏黎世的合作项目中已经开始了用于工业批量生产的全自动系统的原型设计。。NanoFrazor的优势体现在以下几个方面。首先,NanoFrazor是首款实现3D纳米结构直写的光刻设备,其垂直分辨率可高达1nm。因此,此设备不仅可以制备在2D方向上高分辨率复杂图案的无掩模刻写,还可以制备3D复杂纳米结构,例如复杂的光学傅里叶表面结构,图3所示。[3]图3,用NanoFrazor制备的光学傅里叶表面结构。[3]第二,由于NanoFrazor的光刻原理是通过热探针直接在热敏胶上进行刻写,与热探针接触的胶体部分被直接分解,与电子束曝光(EBL)技术相比所制备的图案不会被临近场效应所影响。因此使用t-SPL技术制备的器件,光刻胶可以被去除的非常干净,从而改善半导体材料和金属电极的接触情况,提高电子器件的性能。图4为NanoFrazor工艺中所用的热敏胶和EBL工艺中所用的光敏胶在去胶工艺后的光刻胶表面残留情况。[4]图4 采用t-SPL技术和EBL技术去胶后光刻胶表面残留对比,图中比例尺为500nm。[4]第三, 由于NanoFrazor所采用的的t-SPL光刻技术,避免了电子注入对材料的损伤,特别适合电子敏感类材料相关器件的制备。与此同时NanoFrazor针尖虽然温度很高,但是和样品的接触面积只有纳米尺度,所以样品表面不会受到高温影响,样品表面温度升高小于50度。第四,传统光刻技术中,需要通过显影才能观察到光刻图案。而使用t-SPL技术进行光刻时,热敏胶直接被热探针分解,然后再通过同步成像系统可以立即得到刻蚀图案的形貌。同时使用闭环控制刻写深度,保证纵向1nm的刻写精度。在实际使用中,可以对样品表面已有的微结构成像,实时设计套刻图案进行刻写,非常适合科学科研和新品研发。此外,相比于传统的电子束刻蚀等技术产品,NanoFrazor可以在常温常压环境中使用,维护简单费用低。其主要耗材为热探针,耗材费用将低于目前通用的电子束刻蚀系统的耗材维护费用。科研领域的得力干将目前情况来看,国内和国外的主要用户都集中在科研院所。这一特点在推广尚属早期的国内市场尤为突出。QD中国正在尽全力把NanoFrazor和相关技术介绍给中国区的用户。NanoFrazor在国内的高精度3D光刻领域暂无竞争对手,在2D光刻领域与EBL存在着某些重叠。NanoFrazor产于中立国瑞士,受国际政治影响较小。热敏胶由德国AllResist公司生产销售,热探针目前仍然由IBM苏黎世供应,计划明年由德国IMS公司生产提供,不存在卡脖子问题。凭借强大的性能,NanoFrazor帮助科研人员在多领域中取得了一系列优秀成果。在光学方面,苏黎世联邦理工的Nolan Lassaline等人使用NanoFrazor制备了周期性和非周期性的光学表面结构。[3] 制备的多元线性光栅允许利用傅里叶光谱工程精确调控光信号。实验表面,使用NanoFrazor制备的任意3D表面的方法,将为光学设备(生物传感器,激光器,超表面和调制器)以及光子学的新兴区域(拓扑结构,转换光学器件和半导体谷电子学)带来新的机遇。该论文已于2020年经发表于Nature。在电子学方面,纽约城市大学的Xiaorui Zheng等人利用NanoFrazor制备了基于MoS2的场效应管。[4] 他们的研究结果表明,使用t-SPL技术制备的器件很好地解决了困扰EBL工艺的非欧姆接触和高肖脱基势垒等问题。器件的综合电子学性能也远优于传统工艺所制备的器件。该论文于2019年发表于Nature Electronics。在3D微纳加工方面,IBM使用NanoFrazor制备的纳米微流控系统控制纳米颗粒的输运方向,并成功分离不同大小尺寸的纳米颗粒,直径相差1nm的纳米颗粒可以用此方法进行分离[6]。该方法可以用于分离样品中的病毒等纳米物体。该论文于2018年发表于Science。IBM苏黎世研究院的Pires等人利用NanoFrazor的3D加工工艺,成功地制备出了高度仅为25nm的瑞士最高峰马特宏峰,如图5所示。[5] 后经吉尼斯世界纪录认证为世界上最小的马特宏峰。优于新颖的加工工艺和优异的3D加工精度,该论文与2010年发表于Science。图5 利用NanoFrazor制备的高度仅有25nm的世界最小马特宏峰。[5]在二维材料研究方面,NanoFrazor的热探针可以直接用于二维材料的掺杂[7],切割[8]和应力调制[9],开创了二维材料器件制备的新方法。论文于2020年发表于Nature Communications, Advanced Materials和NanoLetters等期刊上。目前国内用户对NanoFrazor在实验上的表现十分满意,已有国内用户在Advanced Materials等顶级期刊发表文章。关于QUANTUM量子科学仪器QUANTUM量子科学仪器贸易(北京)有限公司(以下简称QDC)是世界知名的科学仪器制造商——美国 Quantum Design International 公司(以下简称QD Inc.)在全世界设立的诸多子公司之一。QD Inc.生产的 SQUID 磁学测量系统 (MPMS) 和材料综合物理性质测量系统 (PPMS) 已经成为世界公认的顶级测量平台,广泛的分布于世界上几乎所有材料、物理、化学、纳米等研究领域尖端的实验室。同时QD Inc.还利用自己遍布世界的专业营销和售后队伍打造一个代理分销网络,与世界其他领先的设备制造商合作,为其提供遍布全球的专业产品销售和售后服务网络,2007 年QD Inc.并购了欧洲最大的仪器分销商德国 LOT 公司,使得QD Inc.全球代理分销和售后网络变得更加完整和强大。由于在华业务的不断发展,QD Inc.于2004年在中国注册成立了全资中国子公司QDC。经过10多年的耕耘发展,目前QDC拥有一支高素质的科学技术服务队伍,其中技术销售及售后技术支持团队全部由硕士学历以上人才组成(其中近70%为博士学历),多年来为中国的顶级实验室和科研机构提供专业科学仪器设备、技术支持、以及科技咨询服务。这些优秀的雇员都曾被派往美国总部及欧美日等尖端科研仪器厂家进行专业系统的培训,经过公司十多年的培养,成为具有丰富的科学实验仪器应用经验的专家。他们为中国的研究机构带来了最尖端的产品和最新的科技动态,为中国科研人员的研究工作提供了强有力的支持。QDC作为引进先进技术设备进入中国的桥梁,靠着过硬的尖端产品、坚实的技术实力、一流的服务质量赢得了中国广大科研客户的赞誉。Quantum Design中国子公司还积极致力于发展与中国本地科学家的合作,并将先进的实验室技术通过技术转移进行商业化。目前Quantum Design中国子公司正立足于公司本部产品,积极致力于材料物理、纳米表征和测量技术、生物及生命科学技术领域的新业务。Quantum Design中国子公司已逐渐成为中国与世界进行先进技术、先进仪器交流的一个重要桥头堡。引用文献1. Eleftheriou, E., et al., Millipede-a MEMS-based scanning-probe data-storage system. IEEE transactions on magnetics, 2003. 39(2): p. 938-945.2. https://heidelberg-instruments.com/product/nanofrazor-explore/ .3. Lassaline, N., et al., Optical fourier surfaces. Nature, 2020. 582(7813): p. 506-510.4. Zheng, X., et al., Patterning metal contacts on monolayer MoS 2 with vanishing Schottky barriers using thermal nanolithography. Nature Electronics, 2019. 2(1): p. 17-25.5. Pires, D., et al., Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science, 2010. 328(5979): p. 732-735.6. Skaug et al., Nanofluidic rocking Brownian motors. Science, 2018. 359: p. 1505-1508.7. Zheng, X, et al., Spatial defects nanoengineering for bipolar conductivity in MoS2. Nature Communications, 2020. 11:3463.8. Liu, et al., Thermomechanical Nanocutting of 2D Materials. Advanced Materials.9. Liu, et al., Thermomechanical Nanostraining of Two-Dimensional Materials. NanoLetters.关注Quantum Design中国官方微信公众号,了解更多前沿资讯!(Quantum Design 中国 供稿)
  • 为什么Microlight3D双光子聚合激光直写技术能实现67nm超高分辨率3D打印?
    为什么Microlight3D双光子聚合激光直写技术能实现67nm超高分辨率3D打印?Microlight3D是一家生产用于工业和科学应用的高分辨率微尺度2D和3D打印系统的专业制造商。MicroFAB-3D光刻机是该公司于2019年推出的第一台紧凑台式双光子聚合系统,一经推出便得到客户的广泛好评。 MicroFAB-3D基于双光子聚合激光直写技术,可在各种光敏材料上制造出蕞小尺寸可达67nm的二维和三维特征结构,兼容各种聚合物,包括生物兼容性材料、医用树脂和生物材料,为微流控、微光学、细胞培养、微机器人或人造材料领域开辟了新的前景。双光子聚合激光直写,也称双光子3D打印,基于“双光子吸收效应”, 可以将反应区域限制在焦点附近极小的位置(称之为“体元”),通过纳米级精密移动台,使得该焦点在物质内移动,焦点经过的位置,光敏物质发生变性、固化,因此可以打印任意形状的3D物体。双光子聚合激光直写技术摒弃了传统增材制造(Additive Manufacturing)层层叠加的方法,使得层与层之间的精度大大提高,消除了“台阶效应”,使得我们可以制造低粗糙度、高精度的器件,如各种光学元件、维纳尺度的结构器件等。基于双光子聚合激光直写技术的microFAB-3D完全适用于超高分辨率3D打印,结合合适的光敏材料,“体元”直径可小至67nm,有时甚至可以更小。结合我们专有的软件,microFAB-3D激光可以在材料内部自由移动,创造出一个坚固的结构。 激光甚至可以穿过聚合的部件,因此“体元”可以在单体内部的三维空间中自由移动,可以高精度地创建任何3D形状,例如没有支撑的悬垂物、内部的体块、中空通道结构等等。 由于光敏材料、激光波长和所用的物镜直接影响打印的分辨率,所以我们的532 nm波长确保了低于67nm的超高3D打印分辨率,我们的用户已经实现了在3D结构中小于100nm的横向分辨率!Microlight3D双光子聚合3D纳米光刻机∣主要特征:1、高分辨率3D打印得益于双光子聚合激光直写技术,无论是基础版本还是先进版本,都可以实现至少67nm的刻写分辨率,最高记录67nm 。 2、打印最复杂的结构与传统的3D打印技术不同,双光子聚合激光直写技术摆脱了传统的“一层一层”的光刻方法。可以打印非常复杂的结构而不需要特殊材料支持或后续处理,增强了材料的机械性能。 3、分辨率自动调节我们的软件可以让您在制造过程中可以随时调节打印分辨率。用大“体元”得到更快的打印速度,用小“体元”实现更复杂、更精密的结构。 4、高精度自动定位microFAB-3D先进版本配备了反馈相机和专用软件功能,使您能够在已经有图案的基板甚至光纤的尖端上直接对齐和打印。您可以轻松和精细地调整聚焦点的位置,精度小于1µm。 5、独特的技术、更高的性能创新的纳米3D打印系统依赖于具有独特特点的工业激光器,带来最高的打印分辨率、紧凑性、成本效率和使用灵活性。除此之外,这些工业激光器完全支持长时间运行而无需定期的维护,提供了更好的可靠性与稳定性。 6、从基础版本升级到先进版本MicroFAB-3D可以根据您的需求和预算轻松地升级。您可以使用MicroFAB-3D标准版本探索高分辨率的3D打印,之后升级为MicroFAB-3D高级版本以实现大范围的复制、Voronoi结构光刻等附加功能。Microlight3D双光子聚合3D纳米光刻机∣兼容材料:我们为我们的双光子聚合激光直写3D纳米光刻机提供了10种专利光刻胶,这些树脂的各种性能允许您探索多种应用领域。我们的系统也与各种商业上可用的光刻胶兼容,如Ormocomp, SU8, FormLabs树脂,NOA-line树脂,甚至水凝胶或蛋白质等。这些光刻胶可能是生物兼容的,有的已被认证实现微型医疗设备。如果您想使用定制的、自制的聚合物,我们也可以帮助您调整系统以适应您的工艺。Microlight3D双光子聚合3D纳米光刻机∣应用领域: 微光学和光子学 微流控 2D材料 微型医疗设备 细胞培养与组织工程 微电子学 微机械 光电子 金属材料 传感器 天线 微型机器人Microlight3D双光子聚合3D纳米光刻机∣规格指标:关于生产厂商Microlight3D:Microlight3D是高分辨率微尺度2D和3D打印系统的专业制造商。Microlight3D致力于满足科学家和工业研究人员新的设计加工需求,以及高精度生产任何几何或非几何形状的微型零件。通过结合2D和3D微纳打印技术,Microlight3D为客户提供了制造更大尺寸复杂部件的灵活性。它的目标是为未来的新兴领域提供更快、更复杂的微型制造系统。Microlight3D的设备现用于微光学、微流体、微机器人、超材料、细胞生物学和微电子学等。 Microlight3D在2016年成立于法国格勒诺布尔,在Grenoble Alpes大学(UGA)进行了超过15年的3D微纳打印技术研发。 上海昊量光电作为Microlight3D在中国大陆地区代理商,为您提供专业的选型以及技术服务。对于Microlight3D有兴趣或者任何问题,都欢迎通过电话、电子邮件或者微信与我们联系。关于昊量光电昊量光电 您的光电超市! 上海昊量光电设备有限公司致 力于引进国 外先 进性与创 新性的光电技术与可 靠产品!与来自美国、欧洲、日本等众多知 名光电产品制造商建立了紧 密的合作关系。代理品牌均处于相关领域的发展前 沿,产品包括各类激光器、光电调制器、光学测量设备、精密光学元件等,所涉足的领域涵盖了材料加工、光通讯、生物医疗、科学研究、国 防及前沿的细分市场比如为量 子光学、生物显微、物联传感、精密加工、先进激光制造等。 我们的技术支持团队可以为国内前沿科研与工业领域提 供完 整的设备安装,培训,硬件开发,软件开发,系统集成等优 质服务,助力中国智 造与中国创 造! 为客户提 供适合的产品和提 供完 善的服务是我们始终秉承的理念!
  • 2023相约青岛!第十二届微光刻技术交流会在合肥落幕
    仪器信息网讯 9月23日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第三届年会暨第十二届微光刻技术交流会在合肥顺利落幕。本届会议由全国半导体设备和材料标准化技术委员会微光刻分技术委员会主办,合肥芯碁微电子装备股份有限公司(以下简称“芯碁微装”)承办。继大会9月22日进行开幕及首日日程后,23日大会召开了2022年度先进光刻技术交流会、2022年度第三届微光刻分技术委员会年会和2022-2023两届承办方揭牌仪式,会议由中科院重庆研究院王德强研究员和全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦分别主持。报告人 中国科学技术大学副研究员 徐光伟报告题目 《氧化镓半导体功率电子器件》功率器件广泛应用于电能传输变换的各个环节,因而在各大电脑相关领域应用广泛。功率器件是“弱点控制”和“强电运行”间的桥梁,高性能功率器有助于降低电能传输变换过程中损耗。随着近年来新能源汽车智能化升级需求的爆发,功率半导体市场增速飞快。当前氧化镓材料及器件的研究,呈现出显著的加速发展态势,是日本、美国、欧洲的研究热点和竞争重点。徐光伟在报告中从氧化镓功率器件、模型和电路等方面介绍了课题组在氧化镓功率电子器件的研究内容与进展。报告人 中科院重庆研究院王德强研究员 王德强报告题目 《Cross Disjoint Mortise Confined Solid-State Nanopores for Single-molecule Detection》&《基因改造蚕丝光刻胶》固态纳米孔在单分子检测应用中引起了广泛关注。然而,获得具有高灵敏度和鲁棒性的可控纳米孔需要在纳米孔制造方面取得革命性突破。作为一种方便、低成本的纳米孔制备方法,可控介质击穿技术很难控制纳米孔的位置和数量。这项工作提出了一种使用聚焦镓离子束和受控介电击穿技术制造的交叉分离榫眼约束固态纳米孔(CDM-Nanopore)的概念。由两个不相交的榫眼结构形成的受限域通过受控的介电击穿方法定位了纳米孔制造的位置。随后,王德强研究员又介绍了西南大学家蚕基因组生物学国家重点实验室和中国科学院重庆绿色智能技术研究院联合研发的基因改造蚕丝光刻胶。王德强表示,蚕丝蛋白属于天然蛋白,其力学性能好,生物相容性好,易于改性;结构独特使得其制备简便,分辨率良好,产业成熟,因而原料丰富,成本低廉,是绿色光刻胶的理想原料。下一步,王德强所在团队将瞄准中端需求,实现进口替代;之后,面向高端需求,突破自主可控。报告人 福建省科学研究院 刘辉文报告题目 《电位限制式电子束投影光刻技术原理》最初的电子束投影光刻使用常规掩模,采用透射加吸收高压电子束的原理。部分高压电子撞击到掩蔽层而后吸收,穿过掩模掩蔽层图形时在金属图形侧壁会产生散射并影响分辨率。另外,提高加速电压会造成掩模严重热形变,而减小束流又无法满足生产效率。而电位限制式电子束投影光刻技术采用反射加透射的原理选择性地通过电子,高压电子无法穿过掩模板掩蔽层,穿过掩膜版图案的电子将图案印到涂抹了光刻胶的晶体上。报告中,刘辉文介绍了对电位限制式电子束投影光刻技术进行的不同图形的仿真曝光,得到了分辨率为20nm的图形。从理论上验证了高压电子束能够穿过掩模图形缝隙,并在新型掩模下方形成图形,图形线条连续清晰。电位限制式电子束投影光刻技术能够解决原有电子束投影光刻技术的问题,结合其他技术有望解决电子束投影光刻技术实用化问题。通过本次电磁仿真和计算,从理论上验证了新型电子束投影光刻技术的可行性,为以后实物验证做了前期准备,并有望下一步制作高分辨率的电子束投影光刻系统。报告人 中国科学院微电子研究所 杨尚报告题目 《激光直写仿真及其邻近效应校正》激光直写是利用激光束对基片表面的抗蚀材料实施曝光,显影后在抗蚀层表面形成所要求的浮雕轮廓。在半导体领域中,激光直写由于其灵活,价格低廉,无需掩模版的优势,常被用于掩模版的刻写和其它例如3D打印等较为灵活的领域。激光直写系统的基本工作原理是由计算机控制高精度激光束扫描,光刻胶上直接曝光写出所设计的任意图形。报告中,杨尚展示了model-based OPC,利用模型匹配工艺条件,从而对版图进行修正。杨尚表示,本次报告只是一个思路的呈现,待其开发成熟,会有更加广阔的应用空间。基于模型的激光直写OPC只适用于图案局部,有着精度高,理论依据充足,自适应性良好的优点。若应用于全图的OPC,则需要加快运行速度也可以采用基于模型优化后的规则,进行rule-based OPC,来完美匹配现有工艺和设备条件等因素,以实现更为精准的OPC修正。2022年度先进光刻技术交流会到此结束,大会进入2022年度第三届微光刻分技术委员会年会环节。《抗蚀剂标准修订工作组》副组长李伟 汇报全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦 汇报《抗蚀剂标准修订工作组》副组长李伟汇报了有关三项原抗蚀剂标准标准申请修订立项情况。分会秘书长陈宝钦对有关《微电子学微光刻技术术语》国家标准报批修改终稿情况进行了汇报。 大会最后进行了2022-2023年两届承办方交接牌仪式。由分会秘书长陈宝钦主持,第十二届承办单位合肥芯碁微电子装备有限公司方林总经理将会牌交接到第十三届承办单位青岛天仁微纳科技有限公司特派代表李心。2023年,微光刻人将相约青岛。 大会结束后,合肥芯碁组织并邀请专家团队参观了“中国声谷”和合肥芯碁微电子装备股份有限公司。免费直播会议推荐仪器信息网联合电子工业出版社特主办首届“半导体工艺与检测技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与检测技术,从各种半导体制造工艺及其检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。主办单位: 仪器信息网 电子工业出版社直播平台:仪器信息网网络讲堂平台会议官网:https://www.instrument.com.cn/webinar/meetings/semiconductor20220920/会议形式:线上直播,免费报名参会(报名入口见会议官网或点击上方图片)通知:第二轮通知|首届“半导体工艺与检测技术”网络会议将于9月26日召开
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制