当前位置: 仪器信息网 > 行业主题 > >

全息纳米光刻图案化平台

仪器信息网全息纳米光刻图案化平台专题为您提供2024年最新全息纳米光刻图案化平台价格报价、厂家品牌的相关信息, 包括全息纳米光刻图案化平台参数、型号等,不管是国产,还是进口品牌的全息纳米光刻图案化平台您都可以在这里找到。 除此之外,仪器信息网还免费为您整合全息纳米光刻图案化平台相关的耗材配件、试剂标物,还有全息纳米光刻图案化平台相关的最新资讯、资料,以及全息纳米光刻图案化平台相关的解决方案。

全息纳米光刻图案化平台相关的资讯

  • 世界上最高分辨率的光刻系统来了,可制造0.7纳米芯片
    9月21日,美国原子级精密制造工具的纳米技术公司Zyvex Labs发布公告,已推出世界上最高分辨率的光刻系统“ZyvexLitho1“,其使用电子束光刻技术,实现了768皮米(即0.768纳米)的原子级精密图案和亚纳米级分辨率。Zyvex Labs已经开始接受ZvyvexLitho1系统的订单,交货期约为6个月。EUV光刻机是当前先进制程的必备设备。荷兰阿斯麦(ASML)作为全球第一大光刻机设备商,同时也是全球唯一可提供EUV光刻机的设备商。在市调机构CINNO Research发布的2022年上半年全球上市公司半导体设备业务营收排名Top10报告中排名第二。Zyvex Labs此次推出的ZyvexLitho1光刻系统,基于STM扫描隧道显微镜,使用的是EBL电子束光刻方式,可以制造出了0.7纳米线宽的芯片,相当于2个硅原子的宽度,是当前制造精度最高的光刻系统。据悉,ZyvexLitho1光刻系统ZyvexLitho1的高精度光刻可以用于实验室阶段高端制程工艺的产品研发,是传统芯片制造所需光刻机的一个应用补充,主要可用于制造对于精度有较高要求的量子计算机的相关芯片,例如高精度的固态量子器件以及纳米器件及材料,对半导体产业的发展也具有巨大的促进作用。目前,Zyvex Labs已经开始接受订单,6个月内就可出货。对于这个新型光刻系统是否会威胁到EUV光刻的统治地位,赛迪顾问集成电路产业研究中心一级咨询专家池宪念表示:“短期内并不会“,他指出ZyvexLitho1是一种使用电子束曝光作为光刻方式的设备,与传统光刻机工作原理会有明显的差异。它是通过电子束改变光刻胶的溶解度,最后选择性地去除曝光或未曝光区域。它的优势在于可以绘制10纳米以下分辨率的自定义图案,是属于无掩模光刻直接写入的工作方式,精度远高于目前的传统光刻机。但是由于这类型设备的单个产品光刻的工作时间要在几小时到十几小时不等,工作效率方面还需进一步提高,因此不会快速取代EUV光刻机。
  • Nature:丝纤蛋白电调控构象转变及光刻应用的纳米红外研究
    蚕和蜘蛛生产的丝蛋白纤维以其无与伦比的机械强度和其源于天然结构中丰富的β折叠晶体所产生的可扩展性而为著名。受到传统的成像技术低化学敏感和低空间分辨的限制,在纳米尺度对丝蛋白纤维中的β折叠构象转变的研究具有大的挑战。近期,中科院微系统所陶虎教授带领的研究团队利用neaspec公司的近场光学显微镜(neaSNOM)高化学敏感和10 nm空间分辨的优势,在纳米尺度近分子水平研究了电调控下丝蛋白中的多形态转变。该工作发表在高水平的Nature Communication杂志上。该研究小组通过neaspec公司的散射型近场光学显微镜(s-SNOM)配合1495cm-1和1790cm-1可调谐中红外QCL激光器(图1d),采用的伪外差近场成像技术,对硅基底上尺寸约为10–350 nm的含高密度β折叠丝蛋白聚集体(图1e形貌),进行了纳米尺度的红外成像研究。从近场相成像图(图1f)中可以看出,在1631cm-1激光下,富含β折叠结构的丝蛋白与硅基底具有很强的对比。该对比主要源于β折叠结构中的二结构amide I在1631cm-1激光下的强烈吸收。然而,在1710cm-1激光下,近场相图(图1g)对比消失,显示该波长下丝蛋白结构小的红外吸收。同时通过不同波长下,对富含β折叠结构的透明丝蛋白的近场相信号变化研究,绘制出了波长与近场相信号变化的曲线(图1h),从曲线中可以明显看出1631cm-1激光下的丝蛋白的强烈吸收信号,与早期其他研究结果一致。图1 电调控下丝蛋白中纳米尺度下的多形态转变该研究在纳米尺度实现了蛋白质结构转换的探测,结合纳米精度的电子束光刻技术能为我们在二维及三维尺度实现丝蛋白的结构控制提供有力的方法;同时该工作为开启纳米尺度的蛋白质结构研究和探究蛋白质电诱导构象变化的临界条件铺平了道路;为未来设计基于蛋白质的纳米结构提了供新的规则。在取得前期研究成果的基础上,该研究团队再次利用neaspec公司的近场光学显微镜(neaSNOM)研究了不同类型的丝蛋白不同曝光时间的红外吸收响应,并成功实现了基于蛋白生物材料的光刻蚀平板印刷技术。该研究成果以全文的形式发表在Advanced Science杂志上。研究人员利用s-SNOM的直接成像和化学识别功能,突破了传统FTIR空间分辨率的限制,在纳米尺度下探索了UV曝光下薄层蛋白局域化学结构的变化。在1635cm-1波长下,获得了不同曝光时间样品UV–Silk30, UV–Silk90,UV–SilkHTP和UV–LC的相应近场相成像(图2d)。结果显示相对比度(丝蛋白和硅)随着曝光时间增加而减弱表明交联度的不断增加。另外,不同蛋白微米图案中吸收信号和曝光时间的关系曲线(图1e)显示,不同蛋白与曝光时间表现出随交联度变化的不同行为。例如:UV–Silk30的吸收强度线性随曝光时间增加而减小,表明交联度随曝光时间而持续增加。图2 UV-silk和UV-LC的FTIR和s-SNOM表征 截止今年11月17日,以neaspec稳定的产品性能和服务为支撑,通过neaspec国内用户的不断努力,近两年的时间已发表了关于近场光学成像和光谱的文章近30篇,其中超过半数发表在Nature Communication 、Advance Materials、ACS Nano、ACS Photonics和 ACS Sensor 及Nature子刊Light:Science & Application 等高水平期刊。伴随更多的研究者信赖和选择neaspec近场和光谱相关产品, neaspec国内用户的持续增加,坚信neaspec国内用户将在2018年取得更加丰厚的研究成果。人物介绍陶虎研究员于2016年荣获由《科学中国人》颁发的“科学中国人年度人物”奖项, 同时已在国际知名期刊和会议发表学术论文50余篇,近5年ISI总引用达1000多次,多项创新前沿成果受到了国际同行广泛关注和评价。他曾多次受邀在哈佛大学、杜克大学、麻省理工林肯实验室、美国洛斯阿拉莫斯实验室等国际知名学府和研究机构作特邀学术报告,其研究成果被Science、Nature、Nature Materials等国际期刊多次专题报道。 参考文献 1. Nanoscale probing of electron regulated structural transitions in silk proteins by near field IR imaging and nano-spectroscopy, Nature Comm. 7:130792. Precise Protein Photolithography (P3): High Performance Biopatterning Using Silk Fibroin Light Chain as the Resist, Adv. Sci. 2017, 1700191. 相关产品及链接 1、超高分辨散射式近场光学显微镜:http://www.instrument.com.cn/netshow/SH100980/C170040.htm2、纳米傅里叶红外光谱仪:http://www.instrument.com.cn/netshow/SH100980/C194218.htm3、太赫兹近场光学显微镜:http://www.instrument.com.cn/netshow/SH100980/C270098.htm
  • 全球纳米压印光刻技术尚处于产业化初期阶段——访青岛天仁微纳董秘刘兵
    仪器信息网讯 8月29日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第四届微光刻分委会年会暨第十三届微光刻技术交流会在青岛成功召开。会议期间,仪器信息网特别采访了青岛天仁微纳科技有限公司董事会秘书刘兵。据介绍,天仁微纳主要提供纳米压印光刻设备及整体解决方案,产品主要应用于显示光学、生物芯片等领域。纳米压印光刻产业化应用时间不长,目前还处于产业化初期阶段。刘兵认为,纳米压印光刻技术或设备将来应用范围会非常广泛。以下为现场采访视频:
  • 基于石墨烯的纳米电子平台问世
    纳米电子学领域的一个紧迫任务是寻找一种可替代硅的材料。美国佐治亚理工学院研究人员开发了一种新的基于石墨烯的纳米电子学平台——单片碳原子。发表在《自然通讯》杂志上的该技术可以与传统的微电子制造兼容,有助于制造出更小、更快、更高效和更可持续的计算机芯片,并对量子和高性能计算具有潜在影响。石墨烯器件生长在碳化硅衬底芯片上。图片来源:佐治亚理工学院  研究人员称,石墨烯的力量在于其平坦的二维结构,这种结构由已知最强的化学键结合在一起。相较于硅,石墨烯可微型化的程度更深、能以更高的速度运行并产生更少的热量。原则上,单一的石墨烯芯片要比硅芯片内可封装更多器件。  为了创建新的纳米电子学平台,研究人员在碳化硅晶体基板上创建了一种改良形式的外延石墨烯,用电子级碳化硅晶体生产了独特的碳化硅芯片。  研究人员使用电子束光刻来雕刻石墨烯纳米结构并将其边缘焊接到碳化硅芯片上。这个过程机械地稳定和密封石墨烯的边缘,否则它会与氧气和其他可能干扰电荷沿边缘运动的气体发生反应。  最后,为了测量石墨烯平台的电子特性,研究团队使用了一种低温设备,使他们能够记录从接近零摄氏度到室温下的特性。  团队在石墨烯边缘态观察到的电荷类似于光纤中的光子,可在不散射的情况下传播很远的距离。他们发现电荷在散射前沿着边缘移动了数万纳米。而先前技术中的石墨烯电子在撞到小缺陷并向不同方向散射之前,只能行进约10纳米。  在金属中,电流由带负电的电子携带。但与研究人员的预期相反,他们的测量表明边缘电流不是由电子或空穴携带的,而是由一种不同寻常的准粒子携带的,这种准粒子既没有电荷也没有能量,但运动时没有阻力。尽管是单个物体,但观察到混合准粒子的成分在石墨烯边缘的相对侧移动。  团队表示,其独特的性质表明,准粒子可能是物理学家几十年来一直希望利用的粒子——马约拉纳费米子。
  • 我国纳米光刻技术研究取得突破
    日前,中科院光电技术研究所微光刻技术与微光学实验室首次提出基于微结构边际的LSP超分辨光刻技术。该技术利用微纳结构边际作为掩模图形,对表面等离子体进行有效激发,其采用普通I-line、G-line光源获得了特征尺寸小于30纳米的超分辨光刻图形。   据相关负责人介绍,传统的微光刻工艺采用尽可能短的曝光波长,期望获得百纳米甚至几十纳米级别的光刻分辨率。然而,随着曝光波长的缩短,整个光刻装备的成本也急剧上升。以目前主流的193光刻机为例,其售价为几千万美元。如此高昂的成本严重限制了短波长光源光刻技术的应用。   近年来,表面等离子体光学的提出为微光刻技术的发展提供了新的选择。利用表面等离子体波的短波长,通过合理的设计掩模图形和工艺参数,超分辨的纳米光刻技术有望形成。   在此背景下,该所研究员提出了基于微结构边际的LSP超分辨光刻技术。理论研究表明,该技术可获得特征尺寸小于1/10曝光波长的纳米结构,并利用365纳米光源从实验上获得了超越衍射极限的光刻分辨率。这将为我国正在迅猛发展的信息产业技术及纳米科技提供坚实的加工制备基础。
  • 纳米压印光刻领头羊天仁微纳获数千万元战略投资,加速布局微纳光学市场
    据麦姆斯咨询报道,近日,青岛天仁微纳科技有限责任公司(以下简称“天仁微纳“)宣布完成由中芯聚源独家战略投资的数千万元A轮融资。本轮融资将用于加快公司用于微纳光学等领域纳米压印设备和解决方案的研发和布局,完善售后服务,进一步扩大市场领先优势。从2015年成立至今,天仁微纳已经成为国际领先的纳米压印设备与解决方案供应商,应用包括3D传感(DOE、Diffuser等)、增强现实与虚拟现实(AR/VR)、生物芯片、集成电路、平板显示、太阳能电池、LED等领域。依靠着全球领先的创新技术和设备性能,完善的售后服务,快速的产品迭代,凭借2018年以来微纳光学晶圆级加工生产的市场契机,天仁微纳厚积薄发,打败诸多国际竞争对手,迅速占领了国内超过90%的市场份额,成为该领域市场的领头羊。晶圆级光学加工(WLO)2017年苹果公司发布的结构光人脸识别技术第一次将微纳光学元器件引入了消费类电子领域,晶圆级光学器件加工的概念也逐渐映入人们的眼帘。随着纳米压印光刻技术被应用在结构光人脸识别的DOE元件生产,业界逐渐认识到,与传统光学透镜加工不同的是,基于纳米压印光刻技术的晶圆级光学加工(WLO工艺)更加适合移动端消费电子设备。特别是在3D视觉发射端结构复杂的情况下,光学器件采用WLO工艺,可以有效缩减体积空间,同时器件的一致性好,光束质量高,采用半导体工艺在大规模量产之后具有成本优势。2019年高端智能手机3D传感iToF(间接飞行时间)模组中的匀光片(diffuser)再次引入了纳米压印作为量产手段,2020年AR衍射光波导光栅加工将纳米压印技术的应用推向面积更大的12英寸,纳米压印终于完成了从科研到大规模量产的华丽转身。纳米压印结果厚积薄发,从跟随到超越晶圆级光学加工量产对纳米压印设备精度、稳定性与一致性要求极高,过去一直被德国、奥地利两家光刻设备公司的进口设备所垄断。天仁微纳创始人冀然博士,从事纳米压印技术研发与推广20年。冀然博士2000年赴德留学,师从欧洲纳米压印之父Kurz教授研究纳米压印设备与材料,先后获得德国亚琛工业大学硕士学位与马普所博士学位。博士毕业后加入德国半导体设备上市公司负责纳米压印设备开发与市场推广。2015年,看到纳米压印在微纳光学晶圆级加工领域的市场前景,冀然博士辞去德国上市公司纳米压印首席科学家职位归国创业,成立天仁微纳,专注于纳米压印设备与全套解决方案的研发与产业化。纳米压印应用领域经过几年的研发与积累,实现了面向微纳光学晶圆级加工的完整设备与工艺材料的解决方案。2019年,在中国高科技企业受到国外技术封锁与制裁的背景下,国产高端智能手机着眼于使用国产设备加工3D传感所需的衍射光学器件。作为国内该领域唯一一家能与欧洲设备公司"掰手腕"的天仁微纳,凭借领先的技术、完善的售后服务和快速的市场应对能力抓住了这个机会,设备打入衍射光学器件量产生产线,经过不断的打磨与迭代,占领了大部分市场份额,打败国际竞争对手,实现了国产替代。2020年初,AR衍射光栅波导市场迅速展开,天仁微纳凭借多年研发,积累了完整的AR衍射光波导生产解决方案,包括步进式压印制造12英寸大面积衍射光栅模具、高精度工作模具复制与大面积高保型性光栅压印的全套设备与工艺解决方案,通过给客户提供AR衍射光栅波导生产“设备+工艺”的一站式解决方案的模式,一举垄断了国内市场,从技术到市场全面超越进口设备。不改初心,剑指纳米压印全球第一对于公司未来的发展,冀然博士充满信心:“无论从技术领先性,还是产业化市场份额,我们在国内微纳光学市场已经具有绝对领先优势,对比国际竞争对手,我们有两大竞争优势:一是贴近市场,二是响应速度快。市场需求是驱动技术创新和发展的源头,而未来纳米压印生产最大的市场一定在中国。我们立足于中国市场,贴近客户需求,以最低的沟通成本得到市场反馈。纳米压印是一个不断发展中的、动态变换的技术和市场,基于对市场需求的理解,我们要发挥我们的快速技术迭代能力,不断推出适应客户需求的设备和工艺,来推动市场的发展。这些优势都是国外竞争对手所不具备的,我们要将这些优势发挥到极致,转换为胜势,在快速发展的同时,发挥精雕细琢的工匠精神,相信我们一定能在纳米压印这个细分领域做到全球第一!“天仁微纳将继续致力于纳米压印光刻在晶圆级光学加工领域的拓展,加快设备与工艺的研发迭代,扩大领先优势,同时还将拓展纳米压印在半导体集成电路、平板显示、生物芯片等其它领域的产业化应用,为客户提供更多、更完善的研发和生产解决方案。中芯聚源创始合伙人暨总裁孙玉望表示:“纳米压印是微纳光学器件量产的理想方式,随着3D传感、AR等应用的持续发展,纳米压印将迎来快速发展的黄金期。中芯聚源看好天仁微纳团队在纳米压印行业的多年积累,天仁微纳已推出多款适用于不同场景的纳米压印设备,形成纳米压印设备和材料的一体化平台,将助力国产纳米压印设备打破进口垄断。”冀然博士表示:”深耕纳米压印这个技术20年了,无论市场对这个技术是冷是热,一直坚持下来,就是因为坚信这个技术会有很好的应用前景。守住这份初心,不贪大而全,先做好小而美,做隐形行业冠军,认真打磨产品,真诚服务每一个客户,在一个技术领域深挖到极致,为中国的微纳加工设备产业发展踏踏实实地做出我们的贡献,未来天仁微纳才能成长为有国际竞争力的公司。”关于天仁微纳青岛天仁微纳科技有限责任公司成立于2015年,是世界领先的纳米压印设备和解决方案提供商,产品与服务涵盖纳米压印相关的设备、模具、材料、工艺以及生产咨询服务。天仁微纳致力于拓展纳米压印技术在创新产品领域的应用,例如3D传感(DOE、Diffuser等)、AR/VR、生物芯片、集成电路、显示、太阳能电池、LED等。天仁微纳的使命是成为世界领先的创新公司,并利用卓越的创新力为客户解决高附加值生产难题,帮助客户实现创新技术到产品的转化。
  • 超画布:可重构光学平台光学器件新突破
    p   清华大学精密仪器系尤政课题组、材料学院刘锴课题组、物理系魏洋课题组,与美国伯克利加州大学吴军桥课题组、姚杰课题组、科斯塔斯· 格里戈罗普洛斯(Costas P. Grigoropoulos)课题组合作,在材料学国际知名期刊《先进材料》(Advanced Materials)上发表题为《非光刻和现场编程的光子超画布》(A Lithography-Free and Field-Programmable Photonic Metacanvas)的研究论文,提出了一种新型的可重构光学平台——超画布。该论文被《先进材料》杂志选为该期的内封底(Inside Back Cover)文章。 /p p   传统光学器件的技术参数与功能是固定的,这给光学器件与光学系统的实际应用带来了诸多不便。如果能够在现场调节光学器件的技术参数或功能,就可以大幅提升光学系统的工作性能。因此,可重构光学器件成为了近年来光学领域的研究焦点。 /p p   为了实现上述目的,清华大学和伯克利加州大学的研究人员创造性地提出使用相变材料二氧化钒,构建新型全固态的可重构光学平台“超画布”的方法。借助二氧化钒薄膜的相变热滞回线,研究人员可以在超画布上实现几乎任意光学元件的快速写入与无痕擦除。光学元件的写入由低功率(约1 mW)的连续激光和三维移动平台完成,整个过程中超画布的温度可以保持在90 ℃以下。光学元件的擦除依靠降低超画布的温度实现,最快仅需约1秒就可以完全擦除超画布上所有的光学元件或图案。 /p   超画布具有成本低、无需光刻、重构速度快等优点。文章中,研究人员首先基于超画布演示了能够偏折光线的可重构光学器件 接着,使用复数块超画布搭建了可重构光学系统样机,对光学现象的动态转变过程进行了观测 最后,展示了使用超画布进行物理仿真,以辅助光学器件设计工作的方法。 p style=" text-align: center "    img src=" http://img1.17img.cn/17img/images/201802/insimg/3106e717-a2e6-496e-95c0-bf5c48e67080.jpg" title=" e86f87c6-4006-42ce-a787-28c6fad7719b.jpg" / /p p style=" text-align: center "   基于超画布的可重构光学器件与可重构光学系统示意图。 /p p   超画布的研究促进了光学器件与光学系统技术的发展,此成果有潜力应用到光学计算、可重构光子电路、生物医疗、全息图像等领域中。《先进材料》审稿人在评审意见中指出:“这篇文章展示了可调超表面领域的一个巨大的技术进步。” /p p   清华大学尤政教授指导的精密仪器系2017届博士毕业生董恺琛、伯克利加州大学已出站的博士后洪錫濬(Sukjoon Hong)和博士研究生邓洋为该文章的共同第一作者。该项研究得到了中国国家自然科学基金、美国国家科学基金、清华-富士康纳米科技研究中心等方面的支持。 /p p br/ /p
  • Nature技术解析 | 3D高速纳米直写机在实现三维光学傅里叶曲面结构中的突破
    研究背景光栅和全息图是通过微纳结构表面的衍射来对光信号进行调制的。尽管这种作用方式历史悠久,但人们一直在相关领域不断的探索,以发展功能更为强大的应用。进一步的发展可以基于傅立叶光学来设计、构筑傅里叶面的微纳结构,以生成所需的衍射输出信号。在这种策略中,需要能够地调制波前,理想的样品表面轮廓应该包含正弦波的总和,每个正弦波具有明确的幅度,频率和相位。但是由于技术的局限,通常只能制备有几个深度别轮廓,无法获得复杂的连续“波浪”表面,从而限制了使用简单的数学设计而实现复杂的衍射光学效果。 研究亮点针对以上问题,苏黎世联邦理工的Nolan Lassaline博士等人,提出了一种简单而有效的方法来解决设计和制备间的差距,制备了任意数量的正弦波组成的光学表面。Nolan Lassaline等人使用扫描热探针t-SPL技术与模板法相结合的策略,制备了周期性和非周期性的光学表面结构。多元线性光栅允许利用傅里叶光谱工程调控光信号。同时,Nolan Lassaline等人克服了先前光子学实验的限制,制备了可以在同一入射角同时耦合红色,绿色和蓝色光的超薄光栅。更广泛地,Nolan Lassaline等人还分析设计并且复制了复杂的二维莫尔条纹,准晶体和全息图结构,展示了多种以前无法制备的衍射表面。Nolan Lassaline等人制备任意3D表面的方法,将为光学设备(生物传感器,激光器,超表面和调制器)以及光子学的新兴区域(拓扑结构,转换光学器件和半导体谷电子学)带来新的机遇。图1 一维调制傅里叶曲面实际效果图图2 二维调制傅里叶曲面实际效果图图3 周期性及准周期性傅里叶表面图案 图4 傅里叶表面的应用 高精度三维刻写技术之于本工作的重要意义苏黎世联邦理工的Nolan Lassaline博士使用NanoFrazor的高精度3D功能制备了一些特的3D表面傅里叶光栅,对光波进行调控,有选择地透射或者反射选定波长的光信号,使得光栅只和选定波长的光信号相互作用。这样就可以通过简单的数学模型计算和相关波长相互作用的傅里叶光栅来调控实现的光波输出。以前还没有可以完全控制每个傅里叶光波成分和光栅相互作用的好方法。一些实验尝试使用超表面,或者波浪形表面光栅,但是由于微纳制备技术的限制,(只能使用灰度光刻实现2阶或者多阶深度的表面光栅,或者使用激光干涉光刻制备类似傅里叶波形表面)不能实现对相互作用波长的完全选择。设计或者制备不的表面会和多个波长相互作用降低有用信号的成分并增加系统的复杂性。有鉴于高精度3D纳米直写之于本工作的重要意义,NanoFrazor的高销售工程师Wu博士特别与作者Nolan Lassaline博士进行了制备工艺方面的探讨和交流,其中Nolan Lassaline博士对于NanoFrazor 3D纳米结构高速直写机的评价如下:“In the field of diffractive optics, it has been known for a long time that wavy surface patterns would be ideal for manipulating light. However, due to the limitations of traditional fabrication techniques, it has not been possible to fabricate surfaces with arbitrary wavy profiles. This has ultimately limited the capabilities of diffractive optics, stimulating decades of research aimed at solving this problem. To overcome this limitation, we took advantage of the unique 3D patterning capabilities offered by the NanoFrazor. Amazingly, this allowed us to fabricate wavy metallic diffractive surfaces with an error of only 1.8 nm. We used this remarkable precision to fabricate a variety of previously impossible diffractive surfaces that show promise for both fundamental optics research and practical applications in photonics. We envision that this approach, made possible only by the NanoFrazor, will lead to advanced optical devices of the future. Beyond diffractive optics, these novel 3D surfaces open up many exciting possibilities for science and engineering across a number of different fields.”( 大意:在衍射光学领域,很久以来人们就知道用波浪状的表面操纵调控光信号是理想的。然而,由于传统纳米制备技术的局限,不能制备出由任意正弦波形组合轮廓的表面。这终限制了衍射光学器件的功能,也激发了数十年来旨在解决这一问题的科研。我们利用NanoFrazor提供的特3D图案化功能终于突破了这一限制。更为惊讶的是,我们能够制备任意波浪形的金属衍射表面,波形误差与设计波形仅为1.8 nm。我们利用NanoFrazor非凡的高精度制备出了各种以前无法实现的衍射表面,有望更深入地探讨基础光学研究和光子学实际应用的许多课题。我们可以预想,NanoFrazor的有加工方法将改革未来先进光学器件的制备。除了衍射光学领域之外,这些新颖制备的3D波浪状表面还将开启科学和工程学许多不同研究领域的令人兴奋的新课题。)图5 傅里叶表面的设计与制备 关于本文当中傅里叶表面的设计及制备流程:A傅里叶表面的设计:先将所要制备的表面轮廓的数学表达公式(这里是在一维的正弦曲线)转换为灰度位图。图中每个像素为10 nm×10 nm,其深度别介于0和255(8位)之间。位图在白色边框内的水平方向上为正弦函数,而垂直方向不变。位图中,白色边框中的像素设置为小深度别。B银基傅里叶表面的制备工艺流程:(1)利用热扫描探针在聚合物抗刻蚀剂层中刻写设计好的纳米结构;(2)利用热蒸发工艺在刻写后的聚合物表面沉积银,厚度大于500nm;(3)利用紫外光固化环氧树脂将显微镜载玻片固定于银层背面;(4)将玻片/环氧树脂/银堆叠结构剥离下来,从而完成制备C通过模板制备得到的银基傅里叶表面。文章作者Nolan Lassaline关于本工作的讲解视频请移步至Quantum Design中国子公司官网(https://qd-china.com/zh/news/detail/2009281332211)观看。关于本工作的更多详细信息,可参考如下信息:(1)原文链接:https://www.nature.com/articles/s41586-020-2390-x?utm_source=other&utm_medium=other&utm_content=null&utm_campaign=JRCN_2_DD01_CN_NatureRJ_article_paid_XMOL(2)Nolan Lassaline博士的视频介绍资料:https://www.youtube.com/watch?v=moGtRjjhbPk
  • 重磅!俄罗斯计划研发无掩模X射线光刻机
    4月2日消息,据外媒报道,俄罗斯莫斯科电子技术学院(MIET)已经接下了贸工部的6.7亿卢布资金(约合5100万元人民币),准备研发制造芯片的光刻机,并号称该款光刻机工艺可以达到EUV级别,但技术原理完全不同,他们研发的是基于同步加速器和/或等离子体源的无掩模X射线光刻机。文章内容显示:“MIET已经在无掩模EUV光刻领域取得了进展,包括与国内其他科研机构和科学家团体联合开展的研究。该项目还将涉及Zelenograd公司ESTO和Zelenograd同步加速器,现在是国家研究中心库尔恰托夫研究所的技术储存综合体(TNK)Zelenograd。“基于在该国运行和发射的同步加速器,特别是在TNKZelenograd的同步加速器以及国内等离子源的基础上,创造技术和设备,将使处理具有设计标准的半导体晶片成为可能28nm、16nm及以下,”招标文件包含这项研究工作(研发)的要求。“无掩模X射线纳米光刻技术和正在开发的设备在国内和世界上都没有类似物。”据了解,X射线因为波长很短,几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于mems技术当中。目前国内有两个地方可以做X射线光刻,一个是合肥同步辐射,一个是北京同步辐射。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。目前最先进的光学光刻是EUV,极紫外光刻。我们也称之为软X射线光刻,既有光学光刻的特征,也有X射线光刻的特征。极紫外波长很短,没有透镜能够放大缩小,所以只能采用凹面镜进行反射式缩放。而掩模版也采用反射式,曝光方式也是扫描,整个系统在真空下运行。公开资料显示,承接了光刻机研发计划的“MIET”是俄罗斯高科技领域领先的技术大学。通过将现代实验室、对教育过程的全新认识以及教育、科学和工业进行独特整合,MIET成为微电子和纳米电子、电信和信息技术领域培训专家的领导者。该大学是俄罗斯大学发明活动排名中最强大的三所大学之一,是莫斯科国立大学排名中排名前五的技术大学之一,也是著名的英国出版物《泰晤士报》排名前20位的俄罗斯大学之一高等教育。实际上俄罗斯早已在芯片制造业上遭到了美国制裁。俄国内唯二半导体企业Ангстрем公司原计划通过AMD购买必要工艺设备,但这笔交易由于2016年Ангстрем公司上了美国商务部制裁名单而中止,其在泽列诺格勒的工厂因为制程工艺落后无法获得足够订单长期处于亏损状态债务超过1000亿卢布,2019年其最大债权方VEB.RF(俄罗斯国家开发集团)对其进行破产重组。当然俄另一家芯片制造商Микрон因祸得福获得了利用Ангстрем生产车间改造28纳米制程新生产线的机会,为其节省了10亿美元。俄国内半导体消费市场不到全球份额2%,如果没有政府推动,针对这样小市场的产业需求去研发制造需要投入几百亿美元成本的DUV\EUV光刻机是经济上极不合理的(全世界产业市场也就那么大)。另一方面俄军用、航天市场对芯片需求的批量不大,但种类多,需要经济上合理的小批量、多品种的产能。适用于大批量生产的投影式光刻机不能满足这种产业需求。俄国内有两条使用8英寸晶圆的生产线,分别属于АО «Микрон»和ООО «НМ-ТЕХ» 。6英寸晶圆的四条生产线,分属АО «Микрон», АО «Ангстрем», АО «ВЗПП-Микрон»和НИИСИ РАН,前面三个都属于上世纪90年代至本世纪初技术水平,值得注意的是最后那个用的是新的无掩膜直写。2014年荷兰Mapper公司与俄RUSNANO公司合资在莫斯科组建一家生产无掩膜光刻机核心组件微机电光学元件的工厂。该工厂生产的电子光学元件可以将一束电子束分成13000束电子束,并对每束电子束进行控制,从而极大提高了无掩膜电子束光刻机的生产效率,使这类光刻机用于设计阶段样品制造外,更加适应小批量生产的需求。Mapper公司多束无掩模光刻机,可以用于32纳米制成,其核心部件即由俄罗斯制造。更早时候,RUSNANO投资了瓦迪姆.拉霍夫斯基教授团队研制的纳米级定位器,使用该项技术可用于加工10纳米精度的非球面光学元件(用于紫外和X波段)。而这位瓦迪姆.拉霍夫斯基,是位大牛。1992年他与苏联时期在全联盟计量科学研究所工作的同事创立一家小公司接一些为苏联时期电子产品生产零件的零散订单。在生产过程中,他们被掩膜缺陷反复折腾,随着制成工艺缩小,就会出现新的问题,之前提出的解决方法都不再有效。而所需要的投资也越来越高,单是掩膜成本就从0.5微米时代的400美元增加到如今的70万美元以上。这时候拉霍夫斯基想到如果用全息生成图像的方法就可以避免掩膜缺陷对产品质量造成影响,据估计,即便缺陷占据全息掩膜面积1%,实际创建的图像质量也不会受到影响。掩膜局部缺陷对成像质量的影响降低了9-10个数量级。这同样可以延长掩膜的使用寿命和降低透镜成本(只需要简单的透镜来照射面罩),甚至利用这一技术可以实现3D光刻。但根据全息图像计算全息掩膜时,他们遇到了数学难题,为此他找到了现代渐近衍射理论的创始人弗拉基米尔安德烈耶维奇博罗维科夫教授,教授为他提供了计算方法。然而全息掩膜的计算量仍然需要超级计算机才能完成。之后他的开发团队致力于简化算法,直至能够在微机上实现,同时他们开发了一个软件包,用以生成全息掩膜(在此过程中他们发现如果用平面波再现全息图将使掩膜的拓扑结构变得无法制造,为此他们通过数学方法解决了会聚球面波的难题)。最初他找到RUSNANO,希望获得对其研发的全息投影光刻技术的投资。但RUSNANO的态度令他感到失望。之后这位老哥找到SEMI欧洲分会主席,于是他获得了瑞士Empa资金支持,并在2015年成立了Nanotech SWHL GmbH公司。按照这位大牛的观点,俄政府领导人熟悉大工业,但不熟悉技术密集型产业,缺乏苏联政府那样对有产业潜力的先进技术孵化投资的远见。而此次外媒报道的无掩膜X射线光刻机虽然无法满足大批量生产的需求。不过2020至2021年9月份,俄整个电子工业只得到2660亿卢布拨款,一座28纳米生产线和配套晶圆厂至少也要投资上万亿卢布,投入这么大一笔费用,俄国内市场也难以提供足够订单维持其运转。光刻机、芯片制造从来不是自古华山一条道,解决不同需求有不同的技术路径(例如大批量生产方面压印法也是比较有发展前景的工艺)。
  • 群贤毕至!第十三届微光刻技术交流会在青岛成功召开
    仪器信息网讯 8月29日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第四届微光刻分委会年会暨第十三届微光刻技术交流会在青岛成功召开。本届会议由全国半导体设备和材料标准化技术委员会微光刻分会秘书处和青岛市城阳区人民政府主办,青岛天仁微纳科技有限责任公司承办。会议吸引了业界三百余位资深专家及企业代表参会。 会议现场大会开幕式由微光刻分技术委员会主任委员冯稷主持,青岛轨道交通产业示范区工委委员、管委副主任矫鲲,青岛轨道交通产业示范区管委招商部部长刘新歧,青岛天仁微纳科技有限责任公司董事长冀然,全国半导体设备和材料标准化技术委员会微光刻分会秘书长陈宝钦分别致辞。微光刻分技术委员会主任委员 冯稷 主持开幕式青岛轨道交通产业示范区工委委员、管委副主任 矫鲲 致辞青岛轨道交通产业示范区管委招商部部长 刘新歧 致辞青岛天仁微纳科技有限责任公司董事长 冀然 致辞全国半导体设备和材料标准化技术委员会微光刻分会秘书长 陈宝钦 致辞致辞结束后,大会进入2023年度微光刻技术交流会环节。大会首日交流会环节邀请了21位业界专家依次分享报告,对微光刻技术及应用、微光刻设备和材料技术的发展趋势、最新研究成果及进展等展开深入的交流与探讨。报告人:湖南大学 陈艺勤副教授报告题目:《力学辅助光刻及其应用》面临极端加工要求,仅仅依靠常规的微光刻技术面临难加工材料、难加工结构、难加工基底等加工难题。针对于此,陈艺勤所在课题组提出力学辅助光刻技术,人为地通过结构设计或添加外场等方式放大微光刻工艺过程中结构内部或结构之间的相互作用;通过人为施加的力学手段来代替或者补充微光刻技术的某一个或某几个工艺环节。报告中,陈艺勤介绍了其所在课题组利用高分辨的力学辅助光刻技术,围绕材料、工艺、应用三个方面开展的系列工作。报告人:苏州大学 陈林森教授报告题目:《微纳光子制造:赋能创新的引擎》陈林森教授三十年来从全息光学到微纳光学迈向光子制造,先后获得3项国家科技进步二等奖;5项江苏省科技奖一等奖;6项中国专利优秀奖。21世纪是“追光”的世纪,谁率先攻克大面积微纳结构功能化难题,谁将在光子领域处于主动地位。但传统光刻技术难以解决大面积光子器件的制备难题,已有图形化技术难以加工复杂微纳结构。面向科技前沿与重大需求,需要确立“更好的解决方案”。自主可控光子技术,对新材料、新装备、新器件的可控性与安全性意义重大。针对于此,陈林森教授基于光场重构、智能计算、数字化光刻与柔性纳米压印,构成了“微纳光制造”底层关键技术,推出了一系列产业化的产品和设备。报告人:中国科学院上海高等研究院X射线光学技术实验室副主任 吴衍青研究员报告题目:《SSRF-XIL线站EUV光刻胶光刻性能检测技术进展》我国尚处于EUV光刻核心关键技术攻关阶段,国内EUV光刻胶的研发尚属于起步阶段。光刻胶的光刻性能检测是光刻胶研发的必要条件,而13.5nm在波长检测是衡量光刻胶曝光性能最准确的检测方法。曝光后可以获得光刻胶的三个主要参数:分辨率、灵敏度和边缘粗糙度。光刻胶研发过程中需多次迭代、检测,获得最佳曝光性能。吴衍青表示,同步辐射EUV干涉光刻是业界公认的检测方法。当前上海光源已为国内多所高校/研究所/企业单位提供光刻胶性能检测支持,取得了丰硕的成果。报告人:神光光学集团有限公司首席科学家 曹海平院长报告题目:《神光光学用于微光刻的玻璃材料和元件》高纯石英性能优良被称为“玻璃之王”,石英玻璃具有比其它以二氧化硅为骨架的如钠钙硅玻璃、硼硅玻璃、普通光学玻璃等混合物玻璃无法比拟的独特和优异性能,尤其透明石英玻璃的光学性能非常优异,在紫外到红外辐射的连续波长范围都有优良的透射比。曹海平在报告中对比了海内外主流厂商的工艺,并介绍了神光光学的六大特色生产工艺:国内首创立式单灯闭式沉积装置,集成原料预处理、反应合成和适应生长三大模块;超精准燃烧管控和液态物蒸发创造了恒定的流场,确保高纯度;优异的燃烧器热场匹配先进的沉积炉温场,形成最佳的合成界面和产品截面;先进的自动化控制的适应生长获得长度向一致性及轴对称性;首创通过槽沉热成型抑制横向延展后的缺陷分布和改善二次缺陷;自主研发多级精密退火工艺减少了应力影响。之后,曹海平介绍了神光光学石英玻璃的应用、产品关键指标等信息。报告人:青岛天仁微纳科技有限责任公司事业发展经理 Massimo Tormen报告题目:《Manufacturing advanced photonic devices needs reliable nanoimprinting lithography solutions》在可预见的未来,光子器件正在并将在我们的社会中大规模使用。纳米压印技术与其他技术相比具有竞争优势。Massimo Tormen 表示,与其他现有复制技术不同,纳米压印(NlL)技术结合了高分辨率、2.5D图案化能力的特点,吞吐量大,需要的投资和运行成本适中;与DUV和EUV光刻相比,因为NIL的缺陷率更高,目前电子工业不使用NIL技术,但光子学应用的缺陷容忍度略高,这使NlL有机会成为先进光子器件的首选制造技术,因为其他竞争先锋发挥着更大的作用(分辨率、吞吐量、成本、2.5D图案化能力等);NIL可以在许多光子应用中赢得与投影光刻的竞争优势。目前天仁微纳的UV-NIL技术越来越成熟。报告人:海德堡仪器公司Nano AG 杨菲博士报告题目:《NanoFrazor—A versatile Nanopatterning Tools》海德堡仪器的杨菲博士在报告中介绍了一种可应用于纳米尺度科学研究的纳米制造技术—纳米扫描热探针直写技术。据介绍,海德堡的相关产品NanoFrazor具有高分辨率纳米光刻15 nm横向分辨率,报告题目:《Raith Nanofabracation Application Updates 2023》本次微光刻年会,锐时科技带来了超高性能电子束光刻系统EBPG Plus、VOYAGER 高性能电子束曝光系统、FIB-SEM系统VELION、CHIPSCANNER 高分辨率电子束曝光机和激光光刻解决方案PICOMASTER。由于时间关系,朱国先生主要介绍了超高性能电子束光刻系统EBPG Plus。据了解,EBPG Plus是一种超高性能电子束光刻系统,100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。报告人:Genlsys公司亚太总监 陈利奇先生报告题目:《GenlSys Update 2023》陈利奇主要介绍了GenlSys的五类产品。据了解,GenlSys的电子和激光束直接写入软件是高斯光束直写系统的市场领导者,安装在全球大多数主要的纳米制造中心,已成为先进电子束光刻的必备品;蒙特卡罗模拟软件可以进行电子束光刻建模与校正中电子分布的MC模拟,可完成过程校准、PSF可视化、提取和管理;3D光刻模拟和OPC软件覆盖了接触式光刻(掩模对准器)和投影光刻(步进器/扫描仪),电子束光刻和激光直写光刻(海德堡仪器激光系统);SEM图像分析与计量是一款可用于基于SEM的计量和检验的计量软件;掩模版生产软件是用于掩模室的专用MDP,高性能(层次结构、并行处理、掩模过程校正…)等。报告人:清华大学 刘泽文教授报告题目:《光刻技术回顾与展望》刘泽文教授主要在报告中回顾了光刻技术的起源、发展与展望。刘泽文教授表示,微光刻技术不仅是人类科技文明的集大成,也是科学技术和现代企业、政府协同推进实现技术进步的典范。EUV光刻机设备本质上是一台基于物理原理的科学工具,而不是普通的机械设备,是高投入、多学科、多技术、多企业、有组织协同发展的结果。在中国这样的国家,有一家甚至两家以上的机构组织进行EUV光刻研发是很有必要的,不仅符合中国的国家利益,也符合人类利益。任何形式的垄断,总是不好的。在重视EUV光刻的同时,需要在新的方向上进行探索,保持创新力,寻找突破点。EUV微光刻技术值得微光刻技术标准化分委员会专家们关注。报告人:中国科学院微电子研究所 何萌报告题目:《集成电路产教融合实训装备与教学实践》集成电路是制造业的最高端,其多步工艺、精细加工、复杂、环境要求高、资金密度高;是精密光学、等离子体物理、磁学、精细化学、数学模型、材料科学等多种学科融合学科;也是精密机械、光学工程、电控技术、软件、温度控制技术等多种技术集成;其技术更新快,每18个月更新一代。但当前集成电路学科实验教学面临诸多难点。针对于此,夏洋等团队设计了系列课程,筹备建设了集成电路学科平台。何萌认为,产教融合需要高校和企业联合开设课程,定向培养高端专业工程性人才,形成集成电路产教融合教学联盟。报告人:中国科学院长春光学精密机械与物理研究所 魏鸿达报告题目:《大幅面微纳结构的光学表面制造技术研究》据介绍,科技部某项目需研制600*300mm*40mm位相板,以此实现以3.1米主镜为基准,两次装调实现5镜共基准。由于600mm超过设备运动范围(400mm)限制,需要开发激光直写远距离高精度拼接曝光技术。报告中,魏鸿达介绍了拼接方案,第一步完成450*300mm图案加工,经显影、刻蚀、再次涂胶后,第二次曝光完成第二部分加工;两次摆放基板会造成坐标系破坏,产生拼接误差,需重构坐标系,降低误差,大尺度多范围设定靶标;精准提取十字刻线质心,数据拟合修正,以基板靶标重建坐标系,实现在同一坐标系下两次直写。此外,魏鸿达还介绍了离子束刻蚀技术以及相关应用拓展。报告人:中国科学院光电技术研究所 胡松研究员报告题目:《面向广义芯片的光刻技术与装备》广义芯片包括集成电路在内所有由光刻技术制造具体一定功能和集成度的系统,如第二代第三代化合半导体,传感器(应变,光栅,光电探测器,气体传感器等),显示器件,生物芯片,发光器件,MEMS,微光学元件,分立电子器件,通迅器件等。随着信息时代的发展,非IC广义芯片的应用十分广泛,需求量十分巨大;针对广义芯片的光刻机需要适应大量非IC标准要求,目前尚未形成垄断,国内具有实现自主可控的能力;国内相关单位需要把握当前窗口,形成面向广义芯片光刻设备的研发与应用链条,解决相关行业自主可控问题。报告人:江苏长进微电子材料有限公司总经理 王凯先生报告题目:《新型高分辨率电子束光刻胶(用于多层结构和灰度光刻)》江苏长进微电子材料有限公司成立于2021年,专业从事半导体光刻胶产品的研发、生产和销售。公司的产品系列完整,产品应用领域涵盖集成电路 (IC)、发光器件 (LED) 、分立器件 (Transistor) 、先进封装(WLCSP,Bumping,FO-WLP,Chiplet)、微机电系统 (MEMS) 、掩膜版 (Mask) 等。报告中,王凯介绍了长进微电子的产品分类、技术路线、电子束胶在多层结构和灰度光刻中的应用等。报告人:长飞石英技术 (武汉) 有限公司销售副总监 肖畅先生报告题目:《长飞石英-微光刻用合成石英材料开发进展与应用》长飞石英基于30余年的光纤预制棒合成石英沉积、热处理等工艺的深入研发与制备经验开发出多种石英制备技术,并建立了全面的石英材料检测平台。长飞合成石英材料,为光学、半导体、光通信等多个行业领域,提供高品质石英材料产品。依托于先进的检测设备与专业的检测能力,长飞石英检测中心可对石英材料的各类光学特性与参数,进行全方位深入测试,为产品研发与交付提供质量保障。报告人:矽万 (上海)半导体科技有限公司 陈硕先生报告题目:《基于3D光刻的曲面衬底非球面微透镜阵列》变焦复眼具有体积小、视场角大、灵敏度高等优点,非常适用于高性能的光电探测器、光场相机等。得益于3D光刻技术设计自由度高、幅面大、粗糙度低以及保真度高等优点制得了人工超复眼结构。该结构实现了信息共享功能:由于光敏单元的独特结构,能够实现不同光敏单元对物体信息的共同成像;变焦功能: 人工超复眼作为由五种不同焦距子眼组成的复眼,能够感知不同焦平面上的物体;大视场角: 由于在曲面上制备了大量的光敏单元,因此人工超复眼的视场角比在平面上制备的微透镜阵列更大,测试结果显示人工超复眼可工作范围视场角约为62°;超疏水微透镜阵列:在复眼结构中增加超疏水结构,使得在高湿度环境仍具有良好的成像功能。基于信息共享功能与变焦功能,人工超复眼不仅可以在曲面上实现变焦成像,也可以在平面上实现变焦成像。相信这种具有新颖结构的微光学元件为制造具有高光学性能的小型化设备提供了新思路。报告人:苏州锐材半导体有限公司销售经理 江茜女士报告题目:《SOI晶圆和其它晶圆键合新材料》SOI技术是在顶层硅和背衬底之间引入了一层埋氧化层。被称为“二十一世纪的微电子技术”。目前全球制造SOl晶圆的技术主要有四种:注入氧分离技术 (Separation by lmplanted Oxygen,SIMOX)、键合回刻技术 (Bond and Etch-back SOl,BESOI)、智能剪切技术 (smart- Cut )和无研磨基台影响CMP技术 (GCIF: Grinding Chuck Impact Free)。江茜女士在报告中介绍了苏州锐材 SOI核心技术产品、新的晶圆键合材料、SOI主要应用等内容。报告人:深圳清力技术有限公司实验平台负责人 潘旭捷先生报告题目:《深圳超滑技术实验平台微纳米工艺介绍》结构超滑是指两个固体表面直接接触做相对滑移运动时,摩擦极低、磨损为零的状态。结构超滑的初步概念最早可追溯到上世纪八九十年代。之后,郑泉水课题组于2002年预言第一个超滑器件,荷兰Frenken院士于2004年第一次在极端条件下观测到纳米尺度的超滑现象。2012年,郑泉水课题组首次在大气环境下实现了微米尺度的结构超滑,标志着结构超滑技术的诞生。报告中,潘旭捷介绍了结构超滑技术的原理、在微纳米器件中的应用和深圳结构超滑技术实验平台。报告人:纳糯三维科技 (上海) 有限公司总经理 崔万银博士报告题目:《双光子灰度光刻技术在微光学器件中的应用》Nanoscribe的双光子灰度光刻激光直写技术(2GL ®)可用于工业领域2.5D微纳米结构原型母版制作。2GL通过创新的设计重新定义了典型复杂结构微纳光学元件的微纳加工制造。该技术结合了灰度光刻的出色性能,以及双光子聚合的亚微米级分辨率和灵活性。报告中,崔万银介绍了相关技术在硅片上3D加工、光纤端面加工和硅光芯片上的3D加工的应用。报告人:苏州美图半导体技术有限公司总经理 王云翔先生报告题目:《美图&研材工艺介绍》王云翔是美图半导体和研材微纳的创始人。报告中,王云翔介绍了美图&研材的业务架构,键合机、喷胶机、光刻机等产品及其在纳米森林、深结构刻蚀、金属微结构、薄膜器件、生物芯片、量产芯片等方面的应用。报告人:福建省水电科学研究院 刘辉文报告题目:《电位限制式电子束投影光刻技术的新进展》刘辉文在去年报告成果的基础上进行了进一步的研究,电位限制式电子束投影光刻技术进行了分辨率为20nm图形的仿真曝光,并在新型掩模下方0.8um处汇聚形成图形,图形中心线条粒子分布呈类高斯分布。通过增加带电层与原来静电场共同形成柱状透镜,使穿过掩蔽层图形缝隙的电子束汇聚。解决了电子散射问题,使电子能够在远离掩蔽层的位置曝光,解决了采用电位限制式电子束投影光刻技术实用化的问题。电位限制式电子束投影光刻技术能够解决原有电子束投影光刻技术的问题,结合其他技术能够解决电子束投影光刻技术实用化问题。通过本次电磁仿真和计算,从理论上验证了新型电位限制式电子束投影光刻技术的可行性和实用性,为以后实物验证做了前期准备,朝着制造高分辨率的电子束投影光刻系统又前进了一步。
  • 问传统求新知——用扫描电镜揭开铝电解抛光表面的各向异性纳米图案的神秘面纱
    金属的电解抛光,是一种传统而常用的表面处理技术,通过可控的电化学反应使金属表面溶解(凸起部分溶解速度快)来降低表面粗糙度。利用电解抛光技术,可以获得纳米级粗糙度的镜面光泽表面,而且可以去除前序机械加工遗留的表面和亚表面损伤层。不过,不为一般仅使用该技术的研究者注意的是,在一定的电化学条件下,电解抛光后的金属表面会出现纳米级的图案(pattern),其中对金属铝的研究较多。研究者发现,金属铝(Al)经短时间电解抛光处理后,表面会出现周期或特征周期为几十至一百多纳米的有序条纹状(stripe)、六边顶角状(hexagon)及点状(dot)等多种有序或无序图案。这一现象,已经引起了研究者对其在金属表面微纳工程、微纳模板加工、微纳电子学等领域应用的关注。研究者已经开始深入挖掘纳米图案形成的机理,关键是揭示材料表面结构和界面电化学行为决定纳米图案类型及周期的物理化学规律。但是,目前已经发表的研究,缺少对多晶和单晶铝表面纳米图案形成行为的系统实验研究,定性的多定量的少,零散的多系统的少,难以用来检验和改进现有的表面纳米图案形成理论。其中一个被长期忽略的关键问题,就是铝表面结构差异导致的纳米图案的各向异性。哈尔滨工业大学化工与化学学院的甘阳教授和他指导的博士生袁原(论文第一作者)、张丹博士、杨春晖教授及机电学院的张飞虎教授,首次采用电子束背散射衍射(EBSD)对电解抛光后的多晶铝和单晶铝进行了定量的表面晶体学取向分析,并采用蔡司的Sapphire Supra 55场发射扫描电镜(FE-SEM)和原子力显微镜(AFM)对纳米图案的类型(type)和周期(size)进行了系统表征和量化分析,揭示了铝电解抛光表面纳米图案的类型和周期对于表面结构和晶体学取向的依赖性的规律。同时,基于表面物理化学的理论框架,对结果进行了深入分析和讨论,定性解释了大部分的实验结果,并指明了下一步的研究方向。研究结果近期以长文形式发表于电化学领域的国际知名期刊Journal of the Electrochemical Society,国际同行评审专家认为该工作是对本领域的重要贡献。甘阳教授课题组首先对多种铝样品的电解抛光表面纳米图案进行了系统的研究:1)多晶铝(polycrystalline Al)中不同取向的晶粒;2)切割角可控的系列单晶铝(monocrystalline Al)样品。通过EBSD测试获得晶粒表面的晶体学取向图,并结合定位SEM表征,他们发现,铝电解抛光表面纳米图案对晶面取向具有依赖性(如图1所示为多晶样品中三个毗邻的晶粒)。(背景知识:描述铝表面晶体学取向的EBSD反极图三角(IPF triangle)中,可划分为围绕三个低指数晶面方向(primary direction,主取向)的晶体学主取向区域—[101] //ND,[001] //ND和[111]//ND,单个晶粒或单晶的表面取向偏离主取向的角度称为取向差角(misorientation angle)。)通过对数十个不同取向的多晶晶粒的逐一定位SEM表征,他们发现了一系列未被报道过的现象(图2):1)纳米图案类型和周期对晶面取向的依赖性是否显著取决于所属的主取向区域;2)在同一主取向区域内,纳米图案类型和周期随着取向差角的改变呈现渐变性规律;3)对于具有相同取向差角但偏向不同主取向的晶面,纳米图案类型和周期也发生变化;4)在两个或三个主取向的交界处,纳米图案类型和周期基本相同。他们进一步测试和分析了一系列取向差角可控的单晶铝样品(图3),证实了上述多晶样品的结果,并揭示出目前尚难以解释的单晶和多晶样品间的图案周期性大小的差异问题(图4)。图1 (a)电解抛光多晶Al样品的EBSD分析IPF图,(b)放大后的IPF图和IPF三角显示三个相邻的A、B、C晶粒及其所属的主取向区域和各自的晶面取向差角值,(c)三个晶粒的定位SEM形貌图像,相邻晶粒被晶界隔开并交于一点,(d–f)三个晶粒的AFM形貌图像和细节放大图及FFT分析图,(g–i)为对应AFM图中白线段的线轮廓分析图。图2 (a)电解抛光后不同晶面取向的多晶铝晶粒在IPF三角中的位置图,(b–y)不同晶粒表面的SEM形貌图和对应的FFT分析图(SEM图上均给出了取向差角和图案的周期)。图3 (a)不同晶面取向的单晶铝样品在IPF三角中的位置图,(b–s)电解抛光后不同单晶样品表面的SEM形貌图和对应的FFT分析图(SEM图上均给出了取向差角和图案的周期)。图4(a,b)单晶和多晶样品的表面纳米图案周期(L)随取向差角(θ)变化的L–θ图,上方刻图轴给出了三个主取向区域内与θ对应的所属表面的表面台阶宽度(w)。(c,d)单晶和多晶样品的各晶面在IPF三角中的对应位置图。L–θ图和IPF三角中的几条连线,表示的是连接了近似位于延某个主取向辐射出去的直线上的若干晶面(及IPF三角中的若干对应的点)。为了解释实验结果,他们建立了一系列不同取向晶面的表面原子排列的“平台–台阶”模型(图5),还特别关注了更复杂的“平台–台阶–扭折”表面结构(图6)。尽管尚没有考虑表面驰豫、重构等的影响,他们根据表面结构特征随取向差角的变化规律,解释了实验观察到的纳米图案类型和取向差角的关系。比如,在一个主取向区域内,随着取向差角的增大,表面台阶宽度逐渐减小而不是突变,界面能的变化也应该呈现渐变的特性,这就解释了纳米图案的类型随取向差角改变的渐变现象。此外,在两个或三个主取向区域的交界处,大取向差的晶面的表面结构(平台宽度和台阶处的原子排列)很相似,所以导致纳米图案的类型基本相同。而不考虑上述结构特征,就很难解释实验上观察到的现象。图5(a–f)[001]和[101]//ND主取向区域内6个不同取向差角的晶面的表面“平台–台阶”结构模型的正视图和侧视图。表面单胞用红色平行四边形或矩形表示。(g)6个晶面在IPF三角中的位置图。图6 (a–c)[001]//ND主取向区域内3个取向差角相等但偏向不同方向的晶面的表面“平台–台阶–扭折”结构模型的正视图。表面单胞用红色平行四边形表示,特别给出了平均台阶宽度。(d)3个晶面在IPF三角中的位置图。图7 在电解抛光过程中吸附分子在不同平台宽度“平台–台阶”表面的扩散和脱附行为差异的示意图。(a)宽平台表面;(b)窄平台表面。他们基于表面结构影响电化学溶解和界面分子吸附、扩散行为的理论框架,对文献中现有的“吸附–溶解”理论进行了深化,进一步提出了表面平台宽度和台阶位点的数量会影响电解抛光液中的表面吸附分子(如乙醇)在表面的扩散(以扩散系数表征)和吸脱附(脱附速率常数)行为。取向差角越大,平台宽度越窄(台阶密度也越大),分子在表面的扩散障碍越大,但同时脱附也更困难,这二者的竞争导致图案的周期先增加并逐渐达到峰值后减小。以外,他们还提出了一套结合SEM测量和图像的FFT处理的分析步骤,以此为基准来准确确定准无序纳米图案的平均周期大小,有效避免了单点测量的较大偏差。以上研究工作,对铝及其它金属(如Ti,Ta,Zn,W)及合金的电解抛光表面纳米图案化研究具有普通意义。甘阳教授课题组正在继续深入研究更多实验因素的影响、图案演化的计算机模拟及理论模型的建立,力图全面揭示金属电解抛光表面纳米图案的形成机理。该研究得到了国家自然科学基金重点项目、国家重点研发计划项目等的资助。恭喜哈尔滨工业大学化工与化学学院甘阳老师课题组使用蔡司场发射扫描电镜做科学研究,取得丰硕的科研成果!
  • 八种光刻技术盘点 国产化进展喜人
    光刻是将掩模版上的图形转移到涂有光致抗蚀剂(或称光刻胶)的硅片上,通过一系列生产步骤将硅片表面薄膜的特定部分除去的一种图形转移技术。光刻技术是借用照相技术、平板印刷技术的基础上发展起来的半导体关键工艺技术。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级范围。光刻技术成为一种精密的微细加工技术。随着技术的发展,光刻技术不断推陈出新,出现了很多针对某几种用途的专门技术,在此特为大家盘点介绍一些光刻技术。掩模光刻掩膜光刻由光源发出的光束,经掩膜版在感光材料上成像,具体可分为接近、接触式光刻以及投影光刻。相较于接触式光刻和接近式光刻技术,投影式光刻技术更加先进,通过投影的原理能够在使用相同尺寸掩膜版的情况下获得更小比例的图像,从而实现更精细的成像。目前,投影式光刻在最小线宽、对位精度、产能等核心指标方面能够满足各种不同制程泛半导体产品大规模制造的需要,成为当前 IC 前道制造、IC 后道封装以及 FPD 制造等泛半导体领域的主流光刻技术。根据光源不同,掩模光刻机还可以分为紫外光源(UV)、深紫外光源(DUV)、极紫外光源(EUV)。为了提供波长更短的光源,极紫外光源(EUV)为业界采用。目前主要采用的办法是将二氧化碳激光照射在锡等靶材上,激发出13.5 nm的光子,作为光刻机光源。目前仅有由荷兰飞利浦公司发展而来的ASML(阿斯麦)一家可提供可供量产用的EUV光刻机。这是目前最先进的光刻技术。X射线光刻X射线因为波长很短,所以几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于MEMS技术当中。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。X射线光源最大的优势在于他可以做出高深宽比的图形,但是最大的问题也是由于他的穿透性太强导致了无法用透镜进行放大和缩小,因此图形尺寸和掩模版的尺寸相同,所以X射线光刻过分依赖电子束光刻掩模版的精度,故目前没有大量普及。离子束光刻离子束投影曝光系统的结构和工作原理与光学投影曝光的结构与原理类似,所不同的是曝光粒子是离子、光学系统采用离子光学系统,而掩模版则由可通过和吸收离子的材料制备。离子束曝光掩模版通常采用Si材料制成投射/散射式的二相掩模版技术。离子束投射光学系统一般也采用4:1缩小的投射方式,透镜实际上是一个可对离子进行聚焦作用的多电极静电系统。常见的离子束光刻技术包括聚焦离子束光刻(FIB)和离子投影光刻(IPL)。FIB系统采用液态金属离子源,加热同时伴随着一定的拔出电压获得金属离子束,通过质量选择器来选择离子,通过电子透镜精细聚焦的金属离子,在偏转线圈的作用下,形成扫描光栅。离子束可通过溅射对样品进行表面成像。聚焦式离子束技术是利用静电透镜将离子束聚焦成非常小尺寸(与电子束直写光刻技术类似。不需要掩膜板,应用高能粒子朿直写。离子投影曝光( lPL)是将平行的离子束穿过掩膜,将缩小的招膜图形投射到基底上,使用PMMA光刻胶。当具有一定能量的离子撞击靶材表面时两者之间会发生一系列的交互作用,其中包括膨胀、刻蚀、沉积、铣削、注入、背散射和形核反应等。主要用于制作修复掩膜版和对晶直接光刻。但离子束光刻存在离子源制备,掩膜板畸变,衬底工艺损伤,效率低等问题,很难在生产中作为曝光工具应用,目前主要用作VISI中的掩模修补工具和特殊器件的修整。电子束曝光电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。纳米压印技术纳米压印技术是一种新型的微纳加工技术。该技术通过机械转移的手段,达到了超高的分辨率,有望在未来取代传统光刻技术,成为微电子、材料领域的重要加工手段。纳米压印技术,是通过光刻胶辅助,将模板上的微纳结构转移到待加工材料上的技术。报道的加工精度已经达到2纳米,超过了传统光刻技术达到的分辨率。这项技术最初由美国普林斯顿大学的Stephen. Y. Chou(周郁)教授在20世纪90年代中期发明。由于纳米压印技术的加工过程不使用可见光或紫外光加工图案,而是使用机械手段进行图案转移,这种方法能达到很高的分辨率。报道的最高分辨率可达2纳米。此外,模板可以反复使用,无疑大大降低了加工成本,也有效缩短了加工时间。因此,纳米压印技术具有超高分辨率、易量产、低成本、一致性高的技术优点,被认为是一种有望代替现有光刻技术的加工手段。热探针扫描技术热扫描探针光刻(t-SPL)是近年来新开发出的一种光刻技术,其与当今的电子束光刻(EBL)相比具有更多的优势:首先,热光刻显改善了二维晶体管的质量,抵消了肖特基势垒,阻碍了金属与二维衬底交界处的电子流动;与电子束光刻(EBL)不同,热光刻技术使芯片设计人员能够轻松地对二维半导体进行成像,之后在需要的地方对电极进行图案化; 此外,热扫描探针光刻(t-SPL)制造系统有望在初期节省成本;最后,通过使用平行热探针,能够轻松地将该热制造方法推广到批量的工业生产当中。成本更低,有望成为当今电子束光刻的替代品。激光直写技术激光直写技术是一种近年来应用广泛的超精密加工技术。激光直写是利用强度可变的激光束对基片表面的抗蚀材料实施变剂量曝光,显影后在抗蚀层表面形成所要求的浮雕轮廓。激光直写系统的基本工作原理是由计算机控制高精度激光束扫描,在光刻胶上直接曝光写出所设计的任意图形,从而把设计图形直接转移到掩模上。激光直写技术主要用于制作平面计算全图、掩模、微透镜、微透镜阵列、Fresnel微透镜、Fresnel波带板、连续位相浮雕的闪耀光学元件等,制作工艺己经逐渐成熟。激光直写技术的发展趋势是从直角坐标写入系统到极坐标写入系统,直至多功能写入系统;从基片小尺寸到大尺寸,从平面写入到球面、柱面以及曲面;从利用光刻胶材料到聚合物以及其他特殊工艺材料;写入元件的特征尺寸从几百微米到亚微米;元件制作时间从几天到几小时甚至几分钟;从制作二值图样到写入连续浮雕轮廓 从光学元件到微电子、集成电路、集成光学器件等;从发达的国家到发展中国家,并己经应用到空间光学、光通讯、光学显示等领域,为DOE和微电子、微光学、微机械器件的制作提供了一种新的制作设备。多光子聚合光刻技术双光子聚合是物质在发生双光子吸收后所引发的光聚合过程。双光子吸收是指物质的一个分子同时吸收两个光子的过程,只能在强激光作用下发生,是一种强激光下光与物质相互作用的现象,属于三阶非线性效应的一种。双光子吸收的发生主要在脉冲激光所产生的超强激光的焦点处,光路上其他地方的激光强度不足以产生双光子吸收,而由于所用光波长较长,能量较低,相应的单光子过程不能发生,因此,双光子过程具有良好的空间选择性。一般利用双光子聚合制造3D打印机,可以实现突破传统光学衍射极限的增材制造。不过,华中科技大学的甘棕松教授发明的超分辨纳米光刻技术利用光刻胶双光子吸收特性,采用双束光进行光刻,一束为飞秒脉冲激光,经过扩束整形进入到物镜,聚焦成一个很小的光斑,光刻胶通过双光子过程吸收该飞秒光的能量,发生光物理化学反应引发光刻胶发生固化;另外一束为连续激光,同样经过扩束整形后,进入到同一个物镜里,聚焦形成一个中心为零的空心状光斑,与飞秒激光光斑的中心空间重合,光刻胶吸收该连续光的能量,发生光物理化学反应,阻止光刻胶发生固化。两束光同时作用,最终只有连续光空心光斑中心部位的地方被固化。甘棕松教授目前已经把空心光斑中心部位最小做到9nm,至此突破光学衍射极限的超分辨光刻技术在常规光刻胶上得以完美实现。光刻机国产化现状虽然各种光刻技术不断涌现,但相比于传统的紫外掩模光刻技术而言,大都在工业量产中都无法完全克服生产效率低、对准精度低、分辨率低等缺点。目前,应用较多的光刻技术主要为EUV、DUV等掩模光刻技术,用于工业量产,也是最受关注的光刻技术。公开资料显示,中国最强的光刻机生产商是上海微电子装备公司(SMEE),主要研发DUV光刻机,目前其最先进的SSA600/20光刻机分辨率可达90nm。上海微电子是国内唯一从事研发、生产以及销售高端光刻机的公司,也是全球第四家生产IC前道光刻机的公司。在2020年,金融局走访调研上海微电子时,上海微电子预计将于2022年交付首台28nm工艺国产沉浸式光刻机,国产光刻机将从此前的90nm制程一举突破到28nm制程。上海微电子在中端先进封装光刻机和LED光刻机领域技术领先,先进封装光刻机国内市场占有率高达80%、全球市场占有率达40%,LED光刻机市场占有率第一。实际上,02专项要求实现半导体设备28nm制程的国产化,目前国望光学的物镜、科益虹源的光源、华卓精科的双工件台、启尔机电的浸液系统等零部件都已实现突破,只差上海微电子光刻机集成。位于北京亦庄的国产验证28nm产线也预计明年投产,届时上海微电子的28nm光刻机有望导入产线,实现28nm光刻设备的国产化替代。此外,国产EUV量产型光刻机目前仍在开发中,中国科学院长春光学精密机械与物理研究所在2016年验收了原理技术样机,合工大已开发出DPP-EUV光源,但功率较低。电子束光刻目前国内主要由电工所在开发,但相比于国际厂商还存在差距。而纳米压印技术国内的主要厂商为青岛天仁微纳,现已成为纳米压印领域市场占有额超过95%的头部企业,建立了自主知识产权的核心技术与专利壁垒,设备销售遍布国内知名大学科研院所和企业。激光直写光刻设备主要国产厂商包括江苏速影、合肥芯碁等,与国际巨头Heidelberg、矽万等相比,技术差距正逐渐缩小。光刻设备的国产化不仅推动了半导体产业的进步,同时也推动了国产仪器市场的发展。笔者从其他渠道了解到,上海微电子也采购了某国产双频激光干涉仪。由于最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键零部件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。随着国内仪器设备的技术进步,上海微电子通过采购国产零部件集成先进的光刻机,促进了国产仪器市场发展。目前,主流光刻设备厂商包括,ASML、Nikon、Canon、上海微电子、合肥芯碁、Heidelberg、江苏速影、矽万、SUSS、苏大维格、Veeco、光机所、EVG、ABM、苏州源卓、合肥芯硕、长春长光中天、中国电科、大族激光、中山新诺等。更多仪器请查看以下专场【光刻机】【电子束刻蚀】。
  • 亚纳米尺度Cu3金属团簇抗菌催化材料研究获进展
    近日,中国科学院金属研究所沈阳材料科学国家研究中心研究员刘洪阳、博士研究生孟凡池等,与北京大学教授马丁、辽宁大学教授夏立新、香港科技大学教授王宁、中科院上海应用物理研究所研究员姜政、中科院山西煤炭化学研究所研究员温晓东等合作,精准调控亚纳米尺度Cu金属团簇结构,构建出亚纳米尺度下原子级分散且全暴露Cu3团簇纳米酶,其表现出优异的模拟氧化酶活性与抗菌性能。相关研究成果在线发表在《应用催化B:环境》(Applied Catalysis B: Environmental)上。   随着现代社会发展,越来越多的病菌随之出现,威胁人类健康,寻找新型抗菌材料刻不容缓。纳米酶是一类具有模拟酶催化活性的纳米材料,因强大多样的酶催化活性而备受关注。研究发现一些纳米酶具有模拟氧化酶、过氧化物酶等催化活性,其产生的活性氧物质可以有效地灭活细菌。目前,构建具有优异模拟酶催化活性的新型纳米酶研究存在挑战。与单原子催化剂相比,亚纳米尺度原子级分散且完全暴露的金属团簇催化剂不仅能提供相邻的金属原子作为催化位点,而且能保持充分的原子利用效率,提供了多种结构可能性和催化可行性。将这种原子级分散且完全暴露的金属团簇催化剂应用于抗菌领域,可有效提升抗菌性能,保护人类健康。   刘洪阳团队致力于亚纳米尺度金属催化材料的设计与应用研究。在前期研究工作基础上,科研团队在纳米金刚石-石墨烯杂化载体上构造了亚纳米尺度完全暴露Cu金属团簇,经球差电镜(图1)分析表明,原子级分散且完全暴露的Cu3团簇(Cu3/ND@G)锚定在富缺陷石墨烯表面。密度泛函理论(DFT)计算结果表明(图2),亚纳米尺度原子级分散且完全暴露的Cu3团簇作为活性中心有利于O2的吸附,从而促进催化O-O键断裂形成活性氧物质(OH),显著提高了Cu3/ND@G纳米酶的模拟氧化酶样活性。与Cu单原子纳米酶(Cu1/ND@G)和Cu纳米颗粒纳米酶(Cu-NPs/ND@G)相比,亚纳米尺度完全暴露且原子级分散的Cu3金属团簇纳米酶表现出优异的模拟氧化酶活性(Kcat=1.474×10-1s-1)。这种完全暴露且原子级分散的Cu3金属团簇纳米酶在NaAc缓冲液(pH4.5)中具有≥99%的抗菌率(图3),其结构和优异的抗菌性能(图4)显示了在生物医学、微生物防腐等领域的潜在应用价值。   研究工作得到国家重点研发计划纳米专项青年科学家项目、国家自然科学基金委员会企业创新发展联合基金重点项目/碳基能源重大研究计划重点项目/国际合作中港联合基金项目/面上项目、辽宁省“兴英才计划”、沈阳材料科学国家研究中心青年人才项目与企业合作项目的资助,并获得上海同步辐射光源的支持。 图1.A、B:Cu纳米粒子(Cu-NPs/ND@G)的球差电镜表征;C、D:亚纳米尺度Cu3金属团簇(Cu3/ND@G)的球差电镜表征  图2.Cu3/ND@G各种中间体沿模拟氧化酶反应路径的优化吸附构型与Cu3/ND@G、Cu-NPs/ND@G模拟氧化酶机理的自由能图,灰色、棕色、红色和白色的球分别代表C、Cu、O和H原子  图3.生长抑制试验:将不同的材料和大肠杆菌菌液孵育后涂在LB琼脂平板上,用A、空白,B、ND@G,C、Cu-NPs/ND@G,D、Cu3/ND@G处理。培养条件:37℃、24小时图4.亚纳米尺度下Cu3金属团簇活性中心结构与抗菌性能示意图
  • 微纳光刻好助手!小型台式无掩膜直写光刻系统MicroWriter ML3
    随着国内各学科的发展和产业的升级,相关的科研院所和企事业单位对各种微纳器件光刻加工的需求日益增多。然而,这些微纳器件光刻需求很难被传统的掩模光刻设备所满足,主要是因为拥有这类的光刻需求的用户不仅需要制备出当前的样品,还需要对光刻结构进行够迅速迭代和优化。为了满足微纳器件对光刻的需求,Quantum Design中国推出了小型台式无掩膜直写光刻系统MicroWriter ML3作为微纳器件光刻的解决方案。与传统的掩模光刻相比,MicroWriter ML3根据用户计算机中设计的图形在光刻胶上制备出相应的结构,节省了制备光刻板所需要的时间和经费,可以实现用户对光刻结构快速迭代的需求。此外,MicroWriter ML3 可用于各类正性和负性光刻胶的曝光,最高光刻精度可达0.4 μm,套刻精度±0.5 μm,最高曝光速度可达180mm2/min。目前,MicroWriter ML3在国内的拥有量超过150台,被用于各类微纳器件的光刻加工。 人工智能领域器件制备人工智能相关的运算通常需要进行大量的连续矩阵计算。从芯片的角度来说,连续矩阵运算主要需求芯片具有良好的乘积累加运算(MAC)的能力。可以说,MAC运算能力决定了芯片在AI运算时的表现。高效MAC运算可以由内存内运算技术直接实现。然而,基于的冯诺依曼计算架构的芯片在内存和逻辑运算之间存在着瓶颈,限制了内存内的高速MAC运算。理想的AI芯片构架不仅要有高效的内存内运算能力,还需要具有非易失性,多比特存储,可反复擦写和易于读写等特点。复旦大学包文中教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于单层MoS2晶体管的两晶体管一电容(2T-1C)单元构架[1]。经过实验证明,该构架十分适用于AI计算。在该构架中,存储单元是一个类似1T-1C的动态随机存储器(DRAM),其继承了DRAM读写速度快和耐反复擦写的优点。此外,MoS2晶体管极低的漏电流使得多层级电压在电容中有更长的存留时间。单个MoS2的电学特性还允许利用电容中的电压对漏电压进行倍增,然后进行模拟计算。乘积累加结果可以通过汇合多个2T-1C单元的电流实现。实验结果证明,基于此构架的芯片所训练的神经网络识别手写数字可达到90.3%。展示出2T-1C单元构架在未来AI计算领域的潜力。相关工作发表在《Nature Communication》(IF=17.694)。 图1. 两晶体管一电容(2T-1C)单元构架和使用晶圆尺寸的MoS2所制备的集成电路。(a)使用化学气相沉积法(CVD)批量制备的晶圆尺寸的MoS2。(b)CVD合成的MoS2在不同位置的Raman光谱。(c)在2英寸晶圆上使用MicroWriter ML3制备的24个MoS2晶体管的传输特性。(d)MicroWriter ML3制备的2T-1C单元显微照片。图中比例尺为100 μm。(e)2T-1C单元电路示意图,包括储存和计算模块。(f)2T-1C单元的三维示意图,其中包括两个MoS2晶体管和一个电容组件。(g)2T-1C单元阵列的电路图。(h)典型卷积运算矩阵。 生物微流控领域器件制备酿酒酵母菌是一种具有高工业附加值的菌种,其在真核和人类细胞研究等领域也有着非常重要的作用。酿酒酵母菌由于自身所在的细胞周期不同,遗传特性不同或是所处的环境不同可展现出球形单体,有芽双体或形成团簇等多种形貌。因此获得具有高纯度单一形貌的酿酒酵母菌无论是对生物学基础性研究还是对应用领域均有着非常重要的意义。澳大利亚麦考瑞大学Ming Li课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备了一系列矩形微流控通道[2]。在制备的微流控通道中,通过粘弹性流体和牛顿流体的共同作用对不同形貌的酿酒酵母菌进行了有效的分类和收集。借助MicroWirter ML3中所采用的无掩模技术,课题组可以轻易实现对微流控传输通道长度的调节,优化出对不同形貌酵母菌进行分类的最佳参数。相关工作结果在SCI期刊《Analytical Chemistry》(IF=8.08)上发表。图2.在MicroWriter ML3制备的微流控通道中利用粘弹性流体对不同形貌的酿酒酵母菌进行微流控连续筛选。图3.在MicroWriter ML3制备的微流控流道中对不同形貌的酿酒酵母菌的分类和收集效果。(a)为收集不同形貌酿酒酵母菌所设计的七个出口。(b)不同形貌酵母菌在通过MicroWriter ML3制备的流道后与入口处的对比。(c)MicroWriter ML3制备的微流控连续筛选器件对不同形貌的酵母菌的筛选效果。从不同出口处的收集结果可以看出,单体主要在O1出口,形成团簇的菌主要O4出口。(d)MicroWriter ML3制备的微流控器件对不同形貌的酿酒酵母菌的分类结果,单体(蓝色),有芽双体(黄色)和形成团簇(紫色)。(e)和(f)不同出口对不同形貌的酿酒酵母菌的分离和收集结果的柱状图。误差棒代表着三次实验的误差结果。 医学检测领域器件制备在新冠疫情大流行的背景下,从大量人群中快速筛查出受感染个体对于流行病学研究有着十分重要的意义。目前,新冠病毒诊断采用的普遍标准主要是基于分析逆转录聚合酶链反应,可是在检测中核酸提取和扩增程序耗时较长,很难满足对广泛人群进行筛查的要求。复旦大学魏大程教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于石墨烯场效应晶体管(g-FET)的生物传感器[3]。该传感器上拥有Y形DNA双探针(Y-双探针),可用于新冠病毒的核酸检测分析。该传感器中的双探针设计,可以同时靶向SARS-CoV-2核酸的ORF1ab和N基因,从而实现更高的识别率和更低的检出极限(0.03份μL&minus 1)。这一检出极限比现有的核酸分析低1-2个数量级。该传感器最快的核酸检测速度约为1分钟,并实现了直接的五合一混合测试。由于快速、超灵敏、易于操作的特点以及混合检测的能力,这一传感器在大规模范围内筛查新冠病毒和其他流行病感染者方面具有巨大的前景。该工作发表在《Journal of the American Chemical Society》(IF=16.383)。 图4. 利用MicroWriter ML3制备基于g-FET的Y形双探针生物传感器。(a)Y形双探针生物传感器进行SARS-CoV-2核酸检测的流程图。(b)选定的病毒序列和探针在检测SARS-CoV-2时所靶向的核酸。ORF1ab: 非结构多蛋白基因 S: 棘突糖蛋白基因 E: 包膜蛋白基因 M: 膜蛋白基因 N: 核衣壳蛋白基因。图中数字表示SARS-CoV-2 NC_045512在GenBank中基因组的位置。(c)经过MicroWriter ML3光刻制备的生物传感器的封装结果。图中的比例尺为1 cm。(d)通过MicroWriter ML3制备的石墨烯通道的光学照片。(e)在石墨烯上的Cy3共轭Y型双探针。图中的比例尺为250 μm。 二维材料场效应管器件制备石墨烯的发现为人类打开了二维材料的大门,经历十多年的研究,二维材料表现出的各种优良性能依然吸引着人们。然而,在工业上大规模应用二维材料仍然存在着很多问题,所制成的器件不能符合工业标准。近日,复旦大学包文中教授课题组通过利用机器学习 (ML) 算法来评估影响工艺的关键工艺参数MoS2顶栅场效应晶体管 (FET) 的电气特性[4]。晶圆尺寸的器件制备的优化是利用先利用机器学习指导制造过程,然后使用小型台式无掩膜直写光刻系统MicroWriter ML3进行制备,最终优化了迁移率、阈值电压和亚阈值摆幅等性能。相关工作结果发表在《Nature Communication》(IF=17.694)。图5. MoS2 FETs的逻辑电路图。(a),(b),(c)和(d)各类电压对器件的影响。(e)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的正反器和(f)相应实验结果(g)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的加法器和(h)相应的实验结果。图6. 利用MoS2 FETs制备的模拟,储存器和光电电路。(a)使用MicroWriter ML3无掩膜光刻机制备的环形振荡器和(b)相应的实验结果。(c)通过MicroWriter ML3制备的基于MoS2 FETs制备的存储阵列和(d-f)相应的实验结果。(g)利用MicroWriter ML3制备的光电电路和(h-i)相应的表现结果。图7. 使用小型台式无掩膜直写光刻系统MicroWriter ML3在晶圆上制备MoS2场效应管。(a)MicroWriter ML3在两寸晶圆上制备的基于MoS2场效应管的加法器。(b),(c)和(d)在晶圆上制备加法器的运算结果。 钙钛矿材料柔性器件制备质子束流的探测在光学基础物理实验和用于癌症治疗的强子疗法等领域是十分重要的一项技术。传统硅材料制备的场效应管装置由于价格昂贵很难被大规模用于质子束流的探测。塑料闪烁体和闪烁纤维也可以被用于质子束流的探测。可是基于上述材料的设备需要复杂的同步和校正过程,因此也很难被大规模推广应用。在最近十年间科学家把目光投向了新材料,为了找出一种同时具有出色的力学性能和造价低廉的材料,用以大规模制质子束流探测设备。钙钛矿材料近来被认为是制备质子束流探测器的理想材料。首先,钙钛矿材料可以通过低温沉积的方法制备到柔性基底上。第二,该材料的制造成本相对较低。钙钛矿材料已被用于探测高能光子,阿尔法粒子,快中子和热中子等领域。对于利用钙钛矿材料制备的探测器探测质子束的领域尚属空白。近日,意大利博洛尼亚大学Ilaria Fratelli教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出用于质子束探测的3D-2D混合钙钛矿柔性薄膜检测器[5]。在5MeV质子的条件下,探测器的探测束流范围为从4.5 × 105 到 1.4 × 109 H+ cm&minus 2 s&minus 1,可连续检测的辐射最高敏感度为290nCGy&minus 1mm&minus 3,检测下限为72 μGy s&minus 1。该工作结果发表在学术期刊《Advanced Science》(IF=17.521)。图8. MicroWriter ML3在PET柔性基板上制备的3D-2D钙钛矿薄膜器件。(A)MAPbBr3 (3D) 和(PEA)2PbBr4 (2D)钙钛矿材料的结构示意图。(B)通过MicroWriter ML3无掩模激光直写机制备出的检测器,图中标尺长度为500 μm。(c)3D-2D混合钙钛矿材料的低掠射角XRD结果。(d)3D-2D混合钙钛矿材料的AFM表面形貌图。图9. 3D-2D钙钛矿材料的电学和光电学方面的性能。(A)由MicroWriter ML3无掩模光刻机制备柔性器件。(B)通过MicroWriter ML3制备的柔性器件在不同弯曲程度条件下的电流-电压曲线图。(C)3D-2D钙钛矿材料柔性器件的PL光谱结果。(D)3D-2D钙钛矿材料柔性器件的紫外-可见光光谱。参考文献[1] Y. Wang, et al. An in-memory computing architecture based on two-dimensional semiconductors for multiply-accumulate operations. Nature Communications, 12, 3347 (2021).[2] P. Liu, et al. Separation and Enrichment of Yeast Saccharomyces cerevisiae by Shape Using Viscoelastic Microfluidics. Analytical Chemistry, 2021, 93, 3, 1586–1595.[3] D. Kong, et al. Direct SARS-CoV-2 Nucleic Acid Detection by Y-Shaped DNA Dual-Probe Transistor Assay. Journal of the American Chemical Society, 2021, 143, 41, 17004.[4] X. Chen, et al. Wafer-scale functional circuits based on two dimensional semiconductors with fabrication optimized by machine learning. Nature Communications, 12, 5953 (2021).[5] L. Basirico, et al. Mixed 3D–2D Perovskite Flexible Films for the Direct Detection of 5 MeV Protons. Advanced Science, 2023,10, 2204815. 小型台式无掩膜直写光刻系统MicroWriter ML3简介小型台式无掩膜直写光刻系统MicroWriter ML3由英国剑桥大学卡文迪许实验室主任/英国皇家科学院院士Cowburn教授根据其研究工作的需要而专门设计开发的科研及研发生产光刻利器。 图10. a)小型台式无掩膜直写光刻系统MicroWriter ML3。MicroWriter ML3 b)在正胶上制备线宽为400 nm的结构,c)正胶上制备的电极结构,d)在SU8负胶上制备的高深宽比结构和e)灰度微结构。 MicroWriter ML3的优势:☛ 实验成本低:相比于传统光刻机,该光刻系统无需掩膜板,同时它也可以用来加工掩膜板,年均可节省成本数万元;☛ 实验效率高:通过在计算机上设计图案就可轻松实现不同的微纳结构或器件的加工,同时具有多基片自动顺序加工功能;☛ 光刻精度高:系统具有多组不同分辨率的激光加工模块(0.4 μm,0.6 μm, 1 μm,2 μm, 5 μm),且均可通过软件自由切换;☛ 加工速度快:最高可实现180 mm2/min的快速加工;☛ 具有3D加工能力:256级灰度,可实现Z方向的不同深浅的加工;☛ 适用范围广:可根据光刻需求的不同,配备365 nm,385 nm和405 nm波长光源或安装不同波长双光源;☛ 使用成本低:设备的采购,使用和维护成本低于常规的光刻系统。
  • 赛多利斯携手SPARTA Biodiscovery共建新型纳米颗粒分析平台
    仪器信息网讯 根据赛多利斯官方最新消息,生命科学集团赛多利斯与英国初创企业SPARTA Biodiscovery就SPARTA分析平台达成合作意向,该平台致力于加快生物制药所需纳米颗粒的研发、生产和质量控制。纳米颗粒是一种小型聚合物或脂质胶囊,可作为载体将活性制剂递送至靶细胞。作为合作的一部分,赛多利斯将通过旗下投资部门Sartorius Ventures投资350万英镑,获持SPARTA Biodiscovery的少数股份。赛多利斯企业研究部负责人兼首席技术官Oscar-Werner Reif教授表示:“SPARTA平台有助于客户在早期阶段优化纳米颗粒设计,甚至在候选药物进入临床之前。通过双方合作,我们将提供更多产品商业化和应用领域的专业知识,快速实现从原型开发到上市销售。”SPARTA Biodiscovery首席执行官兼联合创始人Jelle Penders博士表示:“新疗法进入临床应用所面临的挑战往往不是开发新的活性药剂,而是如何通过纳米颗粒等方式将活性药剂安全、可靠地递送进体内。通过分析纳米制剂的成分,我们发现其在研发、生产和质量控制方面的需求尚未得到满足。与赛多利斯携手合作将加快上市步伐,让这项技术更快为客户服务。”SPARTA Biodiscovery团队曾隶属于帝国理工学院,在此之前,该团队在联合创始人兼英国皇家学会院士、英国皇家工程院院士Molly Stevens教授的研究团队中开发出了核心技术和平台原型。SPARTA(Single Particle Automated Raman Trapping Analysis )技术利用光谱快速、自动、高通量地分析单个纳米颗粒群,有助于加速纳米颗粒的开发并优化生产过程中的质量控制。关于赛多利斯赛多利斯集团是生命科学研究和生物制药行业的领先国际合作伙伴。该集团的实验室产品与服务板块提供创新型实验室仪器和耗材,致力于满足制药和生物制药公司以及学术研究机构旗下科研和质量控制实验室的需求。生物工艺解决方案板块推出了广泛的产品组合,专注于一次性解决方案,帮助客户安全高效地制造生物技术药物和疫苗。集团总部位于德国哥廷根,拥有约60个制造和销售基地遍布全球。集团自身业务增长显著,并通过不断收购互补性技术以扩展其产品组合。2022财年集团销售收入约为42亿欧元。截至2022年底,约16,000名员工为全球客户提供服务。
  • 科学家利用金属—氧化物相互作用构建纳米团簇阵列
    近日,中国科学院大连化学物理研究所催化基础国家重点实验室纳米与界面催化研究组(502组)包信和院士、傅强研究员和宁艳晓副研究员团队在负载纳米团簇催化剂的结构控制和微观表征方面取得新进展,利用金属—氧化物相互作用调控金属纳米团簇的尺寸与稳定性,揭示了载体氧化物表面氧原子p-带中心可用于定量描述金属—氧化物界面作用。负载纳米团簇在许多催化反应中表现出高活性、高选择性以及高原子利用率,基于原子规整的模型催化剂和原子可视的表面表征方法可以对纳米团簇的稳定机制和催化作用提供微观理解。在前期研究中,该团队发现单层分散、亚稳态、高活性氧化物纳米结构可以在贵金属表面稳定,并提出界面限域催化概念(Science,2010;Acc. Chem. Res.,2013;JPCC,2015;ACS Nano,2017)。近期,团队进一步揭示了金属表面和环境气氛对氧化物纳米结构动态变化的协同限域效应(PNAS,2022)。在本工作中,研究人员在FeO/Pt(111)和FeO2-x/Pt(111)表面上构建了结构规整的金属(Cu、Ce等)单原子和纳米团簇阵列结构。对这些团簇结构的选择性落位以及热稳定性研究发现,氧化物载体表面氧原子活性决定了金属原子与氧化物的作用强度。基于理论研究发现,可以利用表面氧原子p带中心来描述表面氧活性,并与Cu在氧化物上相互作用强度实现很好的关联。据此,团队提出了表面氧原子p带中心可以作为金属—氧化物相互作用的定量描述符。相关研究成果以“Periodic Arrays of Metal Nanoclusters on Ultrathin Fe-Oxide Films Modulated by Metal-Oxide Interactions”为题,发表在JACS Au上。该工作的第一作者是中国科学院大连化学物理研究所502组博士研究生罗序达。该工作得到了国家重点研发计划、国家自然科学基金、辽宁省兴辽英才计划等项目的资助。
  • 踏樱寻“联”——记中科院苏州纳米所纳米生化平台联合共建实验室
    随着生物大分子制药的飞速发展,产业急需先进的技术平台以加快研究进程并提高整体效率。而苏州工业园区作为改革开放试验田、国际合作示范区的国家重点建设项目,近年来吸引了越来越多的生物制药及细胞研究企业入驻,规划于集齐产业力量,提高科研水平。中国科学院苏州纳米技术与纳米仿生研究所(下称“苏州纳米所”)更是产业内骄楚,此次珀金埃尔默公司有幸与苏州纳米所纳米生化平台进行联合共建实验室,依托其平台为周边企业展示在杂交瘤筛选、表型筛选、小动物活体成像及组织水平生物标记物等研究领域一系列先进的仪器和研究方案。通过本次共建合作希望把更先进的技术和方案带进园区,为生物制药产业发展和转化医学科研创新注入新的活力。双方领导签署合作协议:纳米生化平台主任李炯(右);珀金埃尔默中国区生命科学部业务总监严洁敏(左)双方领导为联合共建实验室揭牌:纳米生化平台主任李炯(左);珀金埃尔默中国区生命科学部业务总监严洁敏(右) 纳米生化平台是院地共建的面向生物制药及转化医学产业领域的开放式研发支持和服务机构,有利的支持了苏州及周边区域生物医药产业的快速发展。“非常感谢珀金埃尔默公司共同组织了如此有意义的共建活动。”中科院苏州纳米所纳米生化平台主任李炯首先致辞对与会者表达了欢迎,“纳米生化平台累积吸纳入驻企业约50家,并向上百家所外用户提供过技术服务。这有赖于大家对于我们的信任,以及合作伙伴给予我们的支持,我们也会在今后的科研工作中与业内专家共同学习探讨,力争为产业做出自己的一份贡献。” “苏州纳米所作为中科院在长三角地区重点建设的国家级科研项目,拥有强大的科学研发能力,对周边地区有十分重要的影响和示范作用。” 珀金埃尔默中国区生命科学部业务总监严洁敏随后谈到,“通过纳米生化平台丰富的行业经验和客户关系,以及珀金埃尔默在生命科学专业知识和先进技术,我们一起努力,必定能帮助周边企业在科研上取得长足进步。” 经过4年的耕耘及努力,珀金埃尔默已经在十余个城市与客户组建了近二十个共建实验室,仅上海复旦大学上海医学院就累计了近400的用户数。去年更是与生化工程国家重点实验一同,在寸土寸金的北京中关村投入了大量的人力和资金成立转换医学共建实验室及转化医学工程委员会。如此持续的巨额投资旨在与业内分享经验,从而辐射到产业链的每个环节,帮助我们的客户完成科研要求并促进产业蓬勃发展。 珀金埃尔默亚太区高级市场经理刘肖也表达了公司多年服务中国客户的感触:“2018年是珀金埃尔默进入中国的第40周年,也恰逢中国改革开放的第40个年头。作为第一批投身于中国的外资企业,这些年来我们始终秉持着以客户需求为先的宗旨。虽然目前共建实验室合作形式在一些城市获得了非常积极地反馈,但是相对于中国市场庞大的市场,这些仍微不足道。珀金埃尔默今后一定会以包括共建实验室等合作形式,持续、大力地对中国市场进行投资。”珀金埃尔默亚太区高级市场经理刘肖致辞随后双方技术专家及参会嘉宾进行了技术交流。相关资料请点击下载:分子影像技术在转化医学研究中的应用:http://www.instrument.com.cn/download/shtml/880945.shtml组织切片多标记技术与新型生物标记物发现:http://www.instrument.com.cn/download/shtml/880943.shtml生物大分子整体解决方案:http://www.instrument.com.cn/download/shtml/880940.shtml 珀金埃尔默技术专家及参会嘉宾珀金埃尔默除了拥有科研合作能力,也不乏一双发现客户美丽之处的眼睛:我们的产品技术经理为各位倾情拍摄苏州纳米所外美景,请您共同欣赏。关于珀金埃尔默作为全球领先的科研仪器和服务提供商,珀金埃尔默公司致力于为创建更为健康的世界而不懈努力。我们的业务涵盖医学诊断、科研和分析仪器等。我们在全球拥有9000名专业技术人员,时刻准备着为客户提供最优质的服务,帮助客户解决各项科学难题。我们在分析检测、医学成像、信息技术和售后服务方面的专业知识,以及深入的市场洞察力,可协助客户为改善我们的生活环境而不懈探索。2016年,珀金埃尔默年应收达21亿美元,为超过150个国家和地区提供服务,为标准普尔500指数中的一员,纽交所上市代号1-877-PKI-NYSE。了解更多有关珀金埃尔默公司的信息,请访问PerkinElmer官方网站。
  • ASML完成第100台EUV光刻机出货
    最新数据显示,ASML在12月中完成了第100台EUV光刻机的出货。业内预估ASML今年(2021年)的EUV光刻机产能将达到45~50台的规模。7纳米及更先进制程,必须借助光刻设备转印半导体电路图案。追逐先进制程的芯片制造厂商中,台积电和三星均已引入光刻机。目前,台积电和三星已进入5nm工艺的量产阶段,台积电代工的产品包括苹果A14、M1、华为麒麟9000等,后者则包括Exynos 1080、骁龙888等。据日经中文网报道,在半导体制造领域将电路转印到基板的设备市场上,尼康、佳能和荷兰ASML这三家企业形成垄断,但支持EUV技术的设备目前只有ASML成功实现商用化。ASML表示,迭代到5nm后,EUV的层数达到了10~14层,包括但不限于触点、过孔以及关键金属层等过程。未来的3nm、2nm,对EUV的依赖将更甚。另外,ASML定于明年中旬交付最新一代EUV光刻机TWINSCAN NXE:3600D,生产效率提升18%、机器匹配套准精度改进为1.1nm,单台价格或高于老款的1.2亿欧元(约合9.5亿元人民币)。
  • 生物纳米园成为公共技术服务中心服务平台
    产业发展迅猛,昂贵的实验仪器却成中小企业瓶颈,建立拥有一流专业设备的公共技术服务中心成为期待——   在甲型H1N1流感肆虐蔓延之际,苏州工业园区生物纳米园内一家企业生产的甲型H1N1流感病毒表面抗原蛋白成为在美国上市的首个同类产品。日前,生物纳米园公共技术中心的服务平台引起央视《焦点访谈》的关注。   截至11月,隶属公共技术服务中心的公共分析平台累计服务6355次,同比增长318% 抗体公共服务平台累计服务4057次,较去年全年增长 571%。目前,生物纳米园汇聚了高科技研发企业140家,累计获得专利65项,年产值超5000万元,拥有21%博士与46%硕士的高学历人才队伍,形成了生物医药、诊断技术和医疗器械、研发服务外包、纳米技术等产业集群。   为表彰生物纳米园在生物医药领域的成就,12月6日,国家药监局药审中心为该园颁发特殊贡献奖。苏州工业园区科技局局长张东驰表示:“以生物纳米园作为良好载体,园区政府投入巨资建设的公共技术服务中心,通过一流技术设备与高端学术交流,扩大科技载体服务内涵,提升科研机构研究能力,为高科技创新企业加速发展创造了有利环境。”   在生物纳米科技升温的同时,大量昂贵的实验仪器却成为企业发展瓶颈,广大中小型企业无力购置或购全。建立拥有一流专业设备的公共技术服务中心成为期待。为解决企业发展难题,苏州工业园建立生物纳米园,并建立公共技术服务中心,以低廉的价格供园区企业使用。   目前,该中心建立公共分析平台、抗体和蛋白药物平台、纳米靶向药物传导平台、药物高通量筛选平台、临床前研究平台、药物中试平台、纳米加工平台、纳米测试分析平台、仪器试剂采购服务平台、ICT融合通讯沟通平台、人才招聘和培训平台、政策申报服务平台等,总面积约2800平方米。其中,首期 750平方米,具备生物医药研发所需的大型先进分析仪器和实验室保障设备,可满足药物开发基本分析测试需求 二期投入运营的抗体公共服务平台,覆盖分子生物学、基因组学、生物化学、医学免疫学、细胞生物学等领域。   同时,该中心还加入了区域共享协作网,依托苏州纳米技术与纳米仿生研究所的科研设备及纳米技术加工平台和纳米测试分析平台,为纳米技术企业的成长提供完备的技术支持。   张东驰称,作为苏州工业园区大力扶持生物医药产业发展的新举措,生物纳米园公共技术服务中心资金投入巨大,拥有业内一流的专业设备,采用了基础平台叠加专业平台的超前规划,自建平台与专业团队共同合作管理的创新模式。通过这种合作模式,既可以借助专业团队的管理经验,又可有效地缩减平台运行成本。
  • Nature子刊带大家进入热扫描探针构筑的奇妙纳米世界
    上世纪五十年代末期,诺奖得主、物理学鬼才理查德费曼在加州理工学院的物理年会上,作了题为《There' s Plenty of Room at the Bottom》的报告,具前瞻性地提出了他对于纳米尺度操作及控制的框架性想法,并由此开启了无数科研工作者在纳米尺度上探究物质奥秘并通过相关的纳米技术来改变、造福人类的道路。同样是在上世纪五六十年代,采用平面处理工艺批量制备晶体管的策略出现,由此开启了集成电路产业的飞速发展。摩尔博士在六十年代中期提出了著名的摩尔定律“当价格不变时,集成电路上可容纳的元器件的数目,约每隔18-24个月便会增加一倍,性能也将提升一倍”。而其中元器件数量的增多,是通过不断缩小元器件的关键尺寸来实现的。不论是在纳米尺度上进行探索,或是与人们生活息息相关的集成电路产业发展,都需要制备各种各样的纳米结构、纳米功能单元或纳米器件。而在制备各类纳米结构的过程中,为重要的操作就是通过光刻来实现在不同的材料上定义图案区域。目前,在工业上,先进的EUV光刻机具备7 nm技术节点的制备工艺中所需的图形加工能力,但其单值高,比一架F-35战斗机的价格还会高出不少。对于科研工作者来说,目前通常采用的基于光学曝光原理的科研光刻设备(科研的无掩模曝光系统、掩模对准式曝光系统等),能够实现的图形加工分辨率一般在微米尺度或亚微米尺度。而随着研究对象尺度的不断减小,对纳米尺度结构构筑的需求,上述基于光学曝光原理的科研光刻系统显然是不能够完全满足的。基于聚焦电子束、离子束的各类图案化加工设备,比如电子束光刻系统、聚焦离子束系统等,能够有效满足科研中对于纳米尺寸的图形加工需求。然而,由于电子束流和离子束流需要聚焦,这类设备通常由较为复杂的电子光学系统构成,因此价格相较于上述科研光学光刻设备要高出很多(即使是科研的电子束曝光系统,其单值也远超科研的光学曝光设备)。另一方面,聚焦电子束、离子束系统的复杂性也对操作人员和设备维护人员提出了较高的要求。 图1 热扫描探针光刻系统诱导材料局部变化的三种机制 在科研领域中,扫描探针光刻(thermal scanning probe lithography)是另一种颇受关注的图案化工艺方案,能够实现纳米(甚至原子的)图案制备的需求,其核心思路是通过纳米针诱导材料表面局部的改性来实现图案化。纳米针诱导材料表面改性的机制有很多种,包括力学、电学、热学、扩散等等,也由此产生了许多不同的扫描探针光刻技术。在诸多的扫描探针光刻技术中,热扫描探针光刻技术(thermal scanning probe lithography,t-SPL)是近年来发展起来的一种可快速、可靠、高精度地实现纳米图案化工艺,其技术核心是利用加热针的热能来诱导局部材料的改性。通常,热是材料转化中较为普遍的驱动因素,在很多材料中能诱导结晶、蒸发、熔化等改性现象。在纳米尺度上,由于只有很小的体积被加热,所以材料改性的特征时间是以纳秒量来计算的。因此,加热几微秒就足以改变针下的材料。对于刻写速度而言,悬臂梁的机械扫描运动成为图案化工艺速度方面的主要限制。然而,凭借扫描探针领域良好的技术积累,目前可以实现高达20 mm/s的刻写速度,能够满足大多数科研上的图案化制备工艺需求。同时在微纳图案结构的加工精度及分辨率方面,热扫描探针光刻技术可以实现特征线宽在10 nm以下的微纳结构的制备。图2 利用热扫描探针光刻进行热敏抗刻蚀剂的图案化工艺后,结合各类工艺实现的微纳结构及器件案例 作为一种高精度图案化工艺设备,近些年来热扫描探针光刻技术得到飞速发展,然而很多研究人员还比较陌生。着眼于此,洛桑联邦理工的S. T. Howell博士以及瑞士Swisslitho的F. Holzner博士撰写了综述《Thermal scanning probe lithography—a review》(已于2020年4月6日刊载在NPG旗下期刊Microsystems & Nanoengineering,详细信息可参考链接https://doi.org/10.1038/s41378-019-0124-8),Howell等人向大家详细介绍了热扫描探针光刻的历史、原理、图案转移工艺以及在基于新型低维材料的微纳电子器件、自旋电子器件、光子学微纳结构、微纳流控、微纳机电等领域的应用案例。图3 利用热扫描探针光刻进行定域材料转换的应用案例 另一方面,不同于很多新型光刻策略还停留在实验室中,瑞士Swisslitho公司已经成功将热扫描探针光刻技术商品化,名为NanoFrazor。在国内外的诸多用户当中,已有不少基于NanoFrazor制备的结构而开展的研究,相关结果也都发表在了Science、Nature、PRL、等高水平期刊上。图4 热扫描探针诱导的增材工艺的应用案例
  • 天准科技发布国内首台40nm明场纳米图形晶圆缺陷检测设备
    近日,天准科技参股的苏州矽行半导体技术有限公司(下文简称“矽行半导体”)宣布,公司面向40nm技术节点的明场纳米图形晶圆缺陷检测设备TB1500已完成厂内验证,标志着国产半导体高端检测设备实现了新的突破。这是继去年8月,天准科技正式交付面向12英寸晶圆65~90nm技术节点的宽波段明场缺陷检测设备TB1000不到一年后,再次取得的阶段性新进展。高精度明场缺陷检测设备的重要性从整片晶圆到单颗芯片,除了需要耳熟能详的光刻机外,还需要扩散炉、刻蚀机、离子注入机、薄膜沉积设备、化学机械抛光机和清洗机等一系列必备生产型设备。而缺陷检测设备作为保证芯片质量、降低生产成本,推进工艺迭代的重要工具,在芯片生产流程中不可或缺。特别是随着工艺制程不断演进,制造芯片的成本越来越高,检测设备的重要性与日俱增。其中,拥有更高检测精度、更全缺陷类型覆盖率的明场缺陷检测设备备受行业青睐。作为国产半导体设备厂商的代表,成立于2021年11月的矽行半导体,汇聚了来自国内外知名半导体设备公司、晶圆代工厂、上市公司和研究机构的顶尖人才,专注于高端晶圆缺陷检测设备及零部件的研发、生产和销售,努力填补国产缺陷检测设备市场的空白。依托卓越的技术团队和先进的技术实力,逐步打破了KLA等外商对缺陷检测市场的垄断,为国内半导体产业的发展注入了新的活力。TB1500是矽行半导体最新的研发成果,核心关键部件全部实现自主可控,同时采用了先进的信号处理算法,有效提高信噪比和检测灵敏度。为了满足40nm技术节点的工艺制程需求,TB1500提升了光源亮度和感度,增大了物镜视野和速度,能够捕捉更小缺陷尺寸。天准科技半导体设备深布局,助推国产半导体行业突破此外,天准科技在半导体设备领域持续发力。全资子公司MueTec研发的面向12英寸40nm技术节点的DaVinci G5设备,经过大量的晶圆实测数据验证,表现优异。与前两代产品相比,该设备提升了重复性、吞吐量和高深宽比套刻标记识别能力,将在满足大规模生产的需求下,使复杂芯片图案的套刻精度检测成为可能,极大地提高制造效率。天准科技致力打造卓越视觉装备平台企业,通过自主研发、海外并购德国MueTec和战略投资成立矽行公司等多种途径,强化在半导体检测设备领域的布局。据悉,矽行半导体面向28nm技术节点的TB2000设备当前进展顺利,各核心零部件均已完成开发,计划于2024年底发布样机。随着人工智能、大数据等技术的融合与发展,半导体检测设备将趋向更高精度、更高效率和更高智能化的方向演进。未来,天准科技将凭借其技术创新和战略布局,继续推动技术和产品升级,力争在半导体领域成为一流的半导体量测与检测设备公司,助力国产半导体行业突破。
  • 国内首台!天准科技发布40nm明场纳米图形晶圆缺陷检测设备
    记者从天准科技(688003)了解到,由该公司参股的苏州矽行半导体技术有限公司(以下简称“矽行半导体”)宣布,公司面向40nm技术节点的明场纳米图形晶圆缺陷检测设备TB1500已完成厂内验证,标志着国产半导体高端检测设备实现了新的突破。这是继去年8月,天准科技交付面向12英寸晶圆65nm至90nm技术节点的宽波段明场缺陷检测设备TB1000不到一年后,公司再次取得阶段性新进展。从整片晶圆到单颗芯片,除了大家所熟知的光刻机外,还有扩散炉、刻蚀机、离子注入机、薄膜沉积设备、化学机械抛光机和清洗机等一系列设备。缺陷检测设备作为保证芯片质量、降低生产成本,推进工艺迭代的重要工具,在芯片生产流程中不可或缺。特别是随着工艺制程不断演进,制造芯片的成本越来越高,检测设备的重要性与日俱增。拥有更高检测精度、更全缺陷类型覆盖率的明场缺陷检测设备备受行业青睐。成立于2021年11月的矽行半导体,专注于高端晶圆缺陷检测设备及零部件的研发、生产和销售,并逐步打破KLA等外商对缺陷检测市场的垄断,为国内半导体产业的发展注入了新的活力。据了解,TB1500是矽行半导体最新的研发成果,核心关键部件全部实现自主可控,同时采用了先进的信号处理算法,有效提高信噪比和检测灵敏度。为了满足40nm技术节点的工艺制程需求,TB1500提升了光源亮度和感度,增大了物镜视野和速度,能够捕捉更小缺陷尺寸。值得关注的是,天准科技在半导体设备领域持续发力。全资子公司MueTec研发的面向12英寸40nm技术节点的DaVinci G5设备,经过大量的晶圆实测数据验证,表现优异。与前两代产品相比,该设备提升了重复性、吞吐量和高深宽比套刻标记识别能力,将在满足大规模生产的需求下,使复杂芯片图案的套刻精度检测成为可能,极大地提高制造效率。天准科技致力打造卓越视觉装备平台企业,通过自主研发、海外并购德国MueTec和战略投资成立矽行公司等多种途径,强化在半导体检测设备领域的布局。据悉,矽行半导体面向28nm技术节点的TB2000设备当前进展顺利,各核心零部件均已完成开发,计划于2024年年底发布样机。
  • 客户成就 |Nanoscribe微纳加工技术助力纳米粒药物递送研发
    在长期对药物递送的研究中,学者发现纳米颗粒已成为克服常规药物制剂及其相关药代动力学限制的合适载体。随着微流控设备的创新混合和过滤技术发展,针对药物研究新领域的探索正在得到不断拓展。特别是脂质纳米粒携带药物的新发现吸引了研究人员的浓厚兴趣。脂质体已被证明在溶解治疗药物方面具有优势,可以控制药物长期缓释,大大延长了药物的循环寿命。微流体的性能对于在极小尺寸下精确制备脂质纳米粒作为药物载体具有巨大优势。在这一领域,德国布伦瑞克工业大学(TU)的一个科研团队利用Nanoscribe的高精度3D微纳加工技术发明了一种特制的微流控芯片。该芯片包含一个创新的混合器,用于生产单分散载药纳米颗粒,并进行精确的粒径控制。这将有助于推动新的药物递送概念发展。图示同轴层压混合器可以完全消除与带通道壁有机相的接触,同时有效地混合有机相和水相。这种独特的混合器包括同轴注射喷嘴、一系列拉伸和折叠元件以及入口过滤器是无法通过传统的2.5D微纳加工实现的,但是3D双光子聚合技术则可以完美实现加工制造。图片来自于Peer Erfle, TU Braunschweig生产有效且成本效益高的定制药物在制药行业广受关注。难溶性药物的特性限制其口服和非肠道给药,为解决难溶性问题,含有难溶性药物的脂质纳米粒将成为有效候选药物,因为它们提供更快的溶解速度。然而,生产这些脂质纳米粒则非常具有挑战性。整个流程包括多个步骤,例如纳米颗粒的制备和药物载体与纳米颗粒的结合。在纳米颗粒的生产过程中,重要的是管理窄粒径分布,以达到70 nm至200 nm的要求范围。为此,与批量混合技术相比,微流控系统提供了一种更为优化的解决方案。微流体能够精确控制和调节极少量液体的混合,且在微流体中的混合可同时实现纳米颗粒的制备。而这需要使用更有效、更复杂的混合元件来调节纳米颗粒的性质并优化混合机制。如今科学家们利用Nanoscribe公司双光子聚合(2PP)技术制作自由曲面三维微流控元件,并将其集成到复杂的微流控芯片中。这种多功能3D微加工的使用旨在实现缩小粒度分布。复杂微流控芯片3D微纳加工制作布伦瑞克大学(TU Braunschweig)的科学家们通过对微流控领域的研究发明了一种开创性的解决方案,以制备单分散的药物载体纳米粒。他们利用Nanoscribe公司的双光子聚合3D打印技术制作出完整的微流控芯片。该芯片采用独特的微纳混合器件,用于同轴层压和稳定的纳米颗粒生成。整个厘米级微流控芯片由一个连接到横向通道的主通道、一个用于同轴注射喷嘴、一系列3D混合原件和用于减少污染的入口过滤器组成。这种复杂的芯片设计因其小型化特性和极高的表面质量脱颖而出(如内径达到200µm的主通道,孔径达到15µm的入口过滤器)。可以混合有机相和水相的拉伸和折叠微纳元件具有复杂的3D结构。在以往,由于底部内切结构和开放圆柱区域难以成型,传统的2.5D微纳加工和使用微纳注塑成型的大规模生产是无法制造这种微流控系统的。由Nanoscribe公司打印系统制作的3D微纳加工微流控系统可实现用于生产特定尺寸的纳米颗粒,并具有高度复制性特点。用三个单独制作的微纳系统对相同的设计做了测试,结果显示出纳米颗粒大小在几纳米范围内的分散性变化非常小。该结果证实了基于Nanoscribe 2PP技术的3D打印能够生产出具有窄粒径分布的高重复性纳米颗粒。这些发现对未来实现纳米颗粒的平行生产制造具有重要意义。位于喷嘴下游的一个拉伸和折叠混合元件的SEM图像。图片来自于Peer Erfle, TU Braunschweig科研团队:Technical University Braunschweig – Institute of Microtechnology Technical University Braunschweig – Department of Pharmaceutics Technical University Braunschweig - PVZ - Center of Pharmaceutical Engineering Nanoscribe Photonic Professional GT2使用双光子聚合(2PP)来产生几乎任何3D形状:晶格、木堆型结构、自由设计的图案、顺滑的轮廓、锐利的边缘、表面的和内置倒扣以及桥接结构。Photonic Professional GT2结合了设计的灵活性和操控的简洁性,以及广泛的材料-基板选择。因此,它是一个理想的科学仪器和工业快速成型设备,适用于多用户共享平台和研究实验室。Nanoscribe的3D无掩模光刻机目前已经分布在30多个国家的前沿研究中,超过1,000个开创性科学研究项目是这项技术强大的设计和制造能力的证明。更多有关3D双光子无掩模光刻技术和产品咨询欢迎联系Nanoscribe上海分公司 - 纳糯三维科技(上海)有限公司德国Nanoscribe 超高精度双光子微纳3D无掩模光刻系统: Photonic Professional GT2 双光子微纳3D无掩模光刻系统 Quantum X 双光子灰度光刻微纳打印设备
  • 微光刻人再相聚!第十二届微光刻技术交流会在合肥成功召开
    仪器信息网讯 9月22日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第三届年会暨第十二届微光刻技术交流会在合肥成功召开。本届会议由全国半导体设备和材料标准化技术委员会微光刻分技术委员会主办,合肥芯碁微电子装备股份有限公司(以下简称“芯碁微装”)承办。会议吸引了业界两百余位资深专家及企业代表参会。 会议现场会议伊始,由合肥市政府副市长赵明,全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦,中科院标准化管理委员会专家组麻云凤,合肥芯碁微电子装备股份有限公司董事长程卓以及市人大常委会副主任、合肥高新区党工委书记、管委会主任宋道军分别致辞。合肥市政府副市长 赵明 致辞全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长 陈宝钦 致辞中科院标准化管理委员会专家组 麻云凤 致辞合肥芯碁微电子装备股份有限公司董事长 程卓 致辞市人大常委会副主任、合肥高新区党工委书记、管委会主任 宋道军 致辞致辞结束后,大会进入2022年度微光刻技术交流会环节。大会首日交流会环节邀请了12位业界专家依次分享报告,对微光刻技术、微光刻设备和材料技术的发展趋势、最新研究成果及进展等展开深入的交流与探讨。上午的报告由中科院理论物理研究所研究员冯稷主持。中科院理论物理研究所研究员 冯稷 主持报告人 合肥芯碁微电子装备股份有限公司 陈东博士报告题目 《激光直写光刻技术及应用》芯碁微装的陈东博士从激光直写技术及其在泛半导体领域的应用两方面介绍了芯碁微装的技术与应用。据介绍,激光直写技术分为激光束直写式光刻、基于2D数字空间光调制器的直写式光刻等种类,其关键技术性能指标包括产能、解析、精度和稳定性等。当前直写激光已被应用于集成电路(掩模版、晶圆、晶圆级封装、功率半导体、第三代半导体等)、平板显示(LCD、OLED等高端显示)、纳米器件(MEMS、生物芯片、3D器件等)和高端PCB等领域。报告人 北京超弦存储器研究院 师江柳博士报告题目 《应用于前沿存储器工艺的先进光刻工艺研发介绍》师江柳在报告中详细介绍了北京超弦存储器研究院的情况,DRAM对先进光刻的需求以及研究院先进光刻工作三方面内容。据介绍,DRAM存储芯片市场份额巨大,发展前景广阔,是半导体产业的核心支柱之一。中国的DRAM市场接近全球的60%,但自给能力严重不足,且核心技术受制于人,亟需关键技术的突破。当前,北京超弦存储器研究院积极搭平台、引人才、建队伍、出成果,为国产存储器产业发展提供技术来源、协调资源调配、培养专业人才、实施知识产权保护,致力于成为国内存储器技术发展的“探路人”。报告人 上海交通大学教授 周林杰报告题目 《光电子芯片封装测试技术》当前硅基光电子正朝大规模集成应用发展,同时硅光技术促进了片上光互联、量子计算、激光雷达、光子计算新应用的蓬勃发展,但光芯片的封装和测试成本却高居不下。据周林杰介绍,硅光芯片封测面临硅间接带隙材料不能发光、硅波导与光纤模式失配大使得耦合效率低、电学控制端口数多和失效检测等问题,而光电子芯片封装测试平台可提供光电子芯片封装、光电子芯片及器件测试、失效分析等服务。报告中,周林杰详细介绍了平台的电学封装、光学封装和失效分析的技术,并举了大规模光开关和激光雷达芯片两个实例。报告人 中国科学院微电子研究所研究员 齐月静报告题目 《光刻精密测量技术》光刻机是半导体产业的重要装备,其中前道光刻机的生产厂家主要有ASML、Nikon、Canon和上海微电子。光刻机的核心指标包括分辨率、套刻精度和产率,核心分系统包括对准、步进扫描曝光和成像质量控制系统。报告中,齐月静详细介绍了光刻精密测量技术中的投影物镜波像差检测、对准和套刻的关系、对准原理、对准装置等内容。以上为上午的报告内容,下午的会议交流由清华大学刘泽文教授和中科院重庆研究院王德强研究员依次主持。清华大学教授 刘泽文 主持中科院重庆研究院研究员 王德强 主持报告人 合肥芯碁微电子装备股份有限公司总经理 方林报告题目 《芯碁光刻机发展历程》芯碁微装成立于2015年,总部位于中国合肥,于2021年4月1日在科创板正式挂牌上市,成为中国首家光刻设备上市企业。据介绍,芯碁微装致力于以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产,主要产品为PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他镭射直接成像系统。目前产品已广泛应用于IC芯片、掩模版、MEMS、生物芯片、PCB、Touch Panel、FPD、LED等影像转移领域。从2015年成立至今,通过自主研发,不断技术创新,芯碁微装的设备装机量已累计超过700台。报告人 长沙韶光芯材科技有限公司副总经理 彭博报告题目 《长沙韶光芯材科技有限公司》彭博在报告中介绍了长沙韶光芯材料科技有限公司的情况。据介绍,长沙韶光芯材科技有限公司1980年全套引进德国生产线作为分厂存在;2003年成为独立法人的国有公司;2011年改制为民营企业,经营层控股/员工持股;2022年引入股权投资。报告最后,彭博这样形容韶光芯材,“老牛亦解韶光贵,不待扬鞭自奋蹄”。报告人 青岛天仁微纳科技有限责任公司北区销售总监 李心报告题目 《天仁微纳 纳米压印光刻领导者》纳米压印光刻是光刻技术的一种,可以低成本加工微纳结构,在某些领域比投影光刻更具优势。李心表示,随着技术继续发展,在某些工艺节点,纳米压印技术有可能替代投影式光刻。目前,纳米压印已应用到消费类电子产品、车载光学、AR眼镜、生物芯片、显示等领域,随着纳米压印光刻技术日趋成熟,应用领域也会越来越多。报告中,李心还介绍了天仁微纳的发展历程、产品及生产线、解决方案等内容。报告人 锐时科技(北京)有限公司副总经理 朱国报告题目 《2022 Raith公司及最新应用简介》Raith于1980年创立,是一家先进的纳米加工、电子束光刻、聚焦离子束加工、纳米工程和逆向工程应用领域的精密技术解决方案提供商,总部位于德国多特蒙德,通过在荷兰,美国和亚洲(香港和北京)的子公司以及代理商和服务网络。朱国表示,Raith是纳米制造设备的全球市场和技术领导者,在全球安装了1100余套系统,开发了用于纳米结构打印和扫描的最高精度系统,经营着专门的产品平台战略,拥有最广泛的纳米制造系统产品组合。报告人 清华大学教授 刘泽文报告题目 《智能传感器与光刻技术创新-集成氮化镓MEMS传感器》刘泽文教授表示,智能传感时代已经到来,More-than-moore的系统集成值得引起光刻界的重视,基于新材料和新型加工技术的高性能传感器具有巨大的应用前景。光刻和微纳加工技术具有巨大的创新空间,创新永远在路上。创新成就的取得,需要加强企业和研究机构之间的学科和工程交叉合作,需要政府的综合协调和支持。报告人 北京汇德信科技有限公司销售技术工程师 王涛报告题目 《PHABLE紫外/深紫外光刻机特点及应用》王涛在报告中介绍了北京汇德信科技有限公司独家代理的产品-PHABLE紫外/深紫外光刻机。据介绍,该设备是泰伯效应(Talbot)光刻机(DTL技术),采用了非接触曝光的方式,具有纳米-微米级曝光分辨率,分为手动、自动机型,且可以实现大面积纳米周期图案。其中纳米级分辨率可达<50nm(DUV)、<100nm(UV),能实现4‘‘、6’‘、8’‘大面积图案化。此外,PHABLE曝光技术可以在曲面上进行曝光,最大高低差2-3mm表面上曝光纳米图形。报告人 南京南智先进光电集成技术研究院 王前进老师报告题目 《EBL在LNOI光电芯片制备的应用及问题》光电集成是后摩尔时代的可行路径之一。当前光电技术进入集成化发展阶段,产业即将迎来爆发。王前进表示,如果电子学革命的地理中心是以衬底材料来命名,即“硅材料”,那么光子学革命的诞生地应该以“泥酸锂”命名。而南智光电专注于薄膜铌酸锂特色工艺。据介绍,南智光电集成公共技术平台一期由南京市江北新区研创园投资兴建,系南京市首个光电集成领域开放式平台。平台一期占地5000平米,建有超净实验室2000平米,各类设备6000余万元,涵盖光刻、镀膜、刻蚀、封装等各工艺环节,有工艺及研发队伍40人。报告人 中国科学技术大学工程师 周典法报告题目 《光刻工艺装备的运行保障》周典法老师在报告中介绍了装备运行中的常见问题、装备的故障诊断和修复以及装备的运行保障措施。周典法表示,设备的运行保障以预防为主,需加强日常巡检,定期对易损件耗材进行更换和备件储备,确保电力供应正常,还要培养一位自家的硬件维修工程师。合影留念9月22日的微光刻技术交流会到此结束。23日,大会将召开2022年度先进光刻技术交流会、2022年度第三届微光刻分技术委员会年会和2022-2023年两届承办方交接牌仪式,并邀请专家参观合肥芯碁微电子装备股份有限公司产业链上/下游企业。
  • 石墨烯和石墨表面的共价修饰纳米图案
    石墨烯和石墨表面的共价修饰纳米图案研究人员在本文中展示了一种共价修饰的方法,并由此在石墨烯以及高定向热解石墨(HOPG)的表面成功地控制了纳米图案的形成过程。他们在对制得的样品进行了纳米级的表征后发现可以通过改变电化学反应的条件来调控所得纳米图案的尺寸。这种可以在表面构建纳米图案结构的方法使得目前电子产品微型化这一趋势可以进一步发展,同时也有益于其它各种各样纳米技术的应用。虽然目前已经存在一系列的自下而上的技术(也就是从单个分子的基础上搭建特定结构 )并被应用于在石墨烯以及HOPG基底上形成纳米图案结构。但是这些结构通常由非共价键形成,因此其稳定性受到很大的局限。 由来自比利时、越南和英国的科研人员组成的团队报道了一种通过共价修饰来控制纳米图案形成的方法。石墨的表面暴露在电解液中,而电解液包含了芳基重氮盐 NBD(4-nitrobenzenediazonium)以及TBD(3,5-bis-tert-butylbenzenediazonium)。然后在电化学池中通过循环伏安法以及计时电流法进行接枝反应。 研究人员通过原子力显微镜(AFM)和扫描隧道显微镜(STM)对样品进行了表征并在修饰后的石墨烯或HOPG表面发现了近乎圆形的斑点。这种结构被称为”nanocorrals”,研究人员认为其是由实验过程中在近表面形成的气泡引起的。AFM图像表明这种nanocorral的直径(约为45-130 nm)以及密度(20−125/μm2)可以通过分别改变电化学活化条件以及电解质比例的方法来进行人为调控。 这一实验方法可以十分便捷的制备出可调控的图形结构,可以在纳米约束反应中用作微小的“培养皿”。这种方法还可以促进超分子自组装领域以及其它表面反应的研究。Instrument usedCypher ES Techniques used研究人员通过循环伏安法制得样品后,借助了牛津仪器快速扫描AFM Cypher ES,以轻敲模式(tapping mode)对样品的表面形貌进行了纳米级的表征。Cypher ES具备着对样品环境进行精确控制的能力,在本实验中研究人员由此保持了样品处于32°C的恒温下。除了精确的多元环境控制功能,Cypher ES还具备着快速扫描、简单易用以及优于传统AFM的空间分辨率等优点。 Citation: Thanh Phan, Hans Van Gorp, Zhi Li et al., Graphite and graphene fairy circles: a bottom-up approach for the formation of nanocorrals. ACS Nano 13, 5559 (2019). https://doi.org/10.1021/acsnano.9b00439 Note: The data shown here are reused under fair use from the original article, which can be accessed through the article link above.
  • ETH Zurich Norris教授课题组:3D纳米直写技术助力任意形貌六方氮化硼(hBN)纳米3D结构的制备
    【引言】六方氮化硼(hBN)单晶纳米片的原子平滑表面,为光电应用领域带来了革 命性的突破。在纳米光学方面,hBN的强非线性、双曲线色散和单光子发射等特性,为相应的光学和量子光学器件带来一些有性能。在纳米电子学领域,良好的物理,化学稳定性和较宽的禁带,使hBN成为二维电子器件的关键材料。目前,对hBN的研究重点局限于二维扁平结构,尚未涉其3D立体结构对性能的影响。如果能根据需求对hBN纳米片的高度做出相应调整,将为下一代光电器件中调节光子流,电子流和激子流等性能提供一个有效的方法。 【成果简介】近日,Norris教授课题组利用3D纳米直写技术和反应离子刻蚀的方法制备出可任意调控形貌的hBN纳米3D结构。此类hBN纳米3D结构在光电子器件研究领域尚属次。得意于3D纳米结构高速直写机(NanoFrazor)在光刻胶上能实现亚纳米精度的加工,Norris教授课题组运用该方法制备了光电子学相板、光栅耦合器和透镜等元件。获得的元件通过后续组装过程制备成高稳定、高质量的光学微腔结构。随后,通过缩小图形长度比例的方法,引入电子傅里叶曲面,在hBN上实现复杂的高精度微纳结构,展现了NanoFrazor在3D纳米加工领域的潜力。【图文导读】图1. 使用NanoFrazor制备hBN纳米3D结构流程图(a)左图为利用NanoFrazor在光刻胶表面上实现3D结构制备,右图为通过反应离子刻蚀方法将光刻胶上的3D结构转移到hBN的流程;(b)Mandelbrot分形图案刻蚀在光刻胶上的结果。黑色代表图形的 高处,白色为 低处;(c)光刻胶上的Mandelbrot分形图案通过图(a)中的过程转移到hBN上的结果;(d)图(c)中hBN的SEM(倾转30o)表征结果。图2. 利用NanoFrazor在hBN上制备任意形貌的纳米3D结构(a)白色中线左侧为准备的高密度图形样图,右侧为通过NanoFrazor将高密度图形转移到hBN后的实际结果;(b)将图(a)中的图形转移到hBN后的SEM表征结果;(c)AFM测量图(a)中红色虚直线所示部分的表面形貌;(d)hBN纳米3D结构的高分辨成像,左下角厚度为95 nm,右上角厚度为50 nm;(e)AFM测量hBN中高密度方形结构(29 nm)周期性图样结果,体现了NanoFrazor对制备结构的高度可控性;右上角插图是该周期性结构的快速傅里叶变换(FFT)结果。 图3. 利用NanoFrazor制备的hBN光学微纳元件(a)在130 nm厚hBN上制备螺旋相位板阵列的光学表征结果;(b)单个螺旋相位板的AFM结果;(c)具有球形轮廓的hBN微透镜光学显微照片;(d)微透镜理论图样(左侧)和实际制备结果(右侧)比较;(e)光学微腔的示意图,镜、底镜、hBN微透镜(蓝色)和带横向限制(黑色箭头)的腔模式(红色);(f)拥有hBN微透镜的微腔角分辨光谱结果;(g)根据制备的微腔几何结构所计算的横向Ince-Gaussian模分布结果;(h)测量的横向Ince-Gaussian分布结果。图4. hBN上制备的电子傅里叶曲面(a)具有六边形晶格的电子傅里叶曲面位图;(d)将两个六边形晶格与一个在平面上旋转10°的晶格叠加而成的位图;(g)两个叠加的六边形晶格的位图,周期分别为55和47 nm,无平面内旋转;(j)将九个位图分别在平面内旋转0、20、40、60、80、100、120、140和160°后的叠加效果;(b)、(e)、(h)、(k)为使用NanoFrazor在光刻胶上制备(a)、(d)、(g)、(j)中图形时所获得的结果;(c)、(f)、(i)、(l)、是把(b)、(e)、(h)、(k)中的图案刻蚀在hBN上的AFM测量结果;(a)-(l)中的插图代表着相应图案的FFT结果。【小结】本文利用NanoFrazor有的3D纳米直写技术在hBN上实现了复杂高精度纳米3D结构的制备,为光电器件性能的应变调控和能带结构调控带来了新的研究方向。这一研究结果表明,NanoFrazor在开拓双曲线超材料、化电子、扭转电子、量子材料和深紫外光电器件等领域新的研究方向上有着重要的作用。
  • 一种有望替代电子束光刻的新技术
    目前光刻技术存在被美国“卡脖子”,不只是工业用的,包括科研用的电子束曝光机也只能购买到落后国外两三代的产品。而电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究,是半导体微电子制造及纳米科技的关键设备、基础设备。3D纳米结构高速直写机的技术起源光刻技术严重制约着我国半导体工业及科研领域的发展。近年来,一种基于热扫描探针光刻技术的产品3D纳米结构高速直写机有望替代电子束曝光机。3D纳米结构高速直写机(NanoFrazor)的主要技术起源于上世纪九十年代,由诺贝尔奖获得者Binnig教授在IBM Zurich实验室所主导的千足虫计划。该计划原本的目标是用类似原子力显微镜探针的热探针达到1Gb/s的高速数据存储读写。图1为千足虫计划中,制备的热探针的扫描电子显微图像。[1]图1. 千足虫计划所制备的热探针的扫描电子显微图像。[1]2010年后,研究团队逐渐把研究热点从数据的高速读写逐渐转向了扫描热探针用于高精度灰度光刻技术(t-SPL)。随着t-SPL技术的逐渐成熟,2014年推出了首款商业化高精度3D纳米结构高速直写机,NanoFrazor Explore 图2b)。为满足市场的不同需求,2017年推出台式系统NanoFrazor Scholar,图2a)。[2]图2 不同型号的NanoFrazor。a)为台式NanoFrazor Scholar系统,b)为旗舰型NanoFrazor Explore。[2]随后,于2019年无掩模激光直写系统被成功地整合到了旗舰型NanoFrazor Explore系统中,实现了在NanoFrazor中从微米加工到纳米加工的无缝衔接。有望替代电子束光刻技术目前NanoFrazor的技术主要用于科研院所的高端纳米器件制备,已有集成激光直写的系统以加快大尺寸大面积微纳米结构的刻写。由10根探针组成的探针阵列已经在Beta客户端测试中。在和IBM苏黎世的合作项目中已经开始了用于工业批量生产的全自动系统的原型设计。。NanoFrazor的优势体现在以下几个方面。首先,NanoFrazor是首款实现3D纳米结构直写的光刻设备,其垂直分辨率可高达1nm。因此,此设备不仅可以制备在2D方向上高分辨率复杂图案的无掩模刻写,还可以制备3D复杂纳米结构,例如复杂的光学傅里叶表面结构,图3所示。[3]图3,用NanoFrazor制备的光学傅里叶表面结构。[3]第二,由于NanoFrazor的光刻原理是通过热探针直接在热敏胶上进行刻写,与热探针接触的胶体部分被直接分解,与电子束曝光(EBL)技术相比所制备的图案不会被临近场效应所影响。因此使用t-SPL技术制备的器件,光刻胶可以被去除的非常干净,从而改善半导体材料和金属电极的接触情况,提高电子器件的性能。图4为NanoFrazor工艺中所用的热敏胶和EBL工艺中所用的光敏胶在去胶工艺后的光刻胶表面残留情况。[4]图4 采用t-SPL技术和EBL技术去胶后光刻胶表面残留对比,图中比例尺为500nm。[4]第三, 由于NanoFrazor所采用的的t-SPL光刻技术,避免了电子注入对材料的损伤,特别适合电子敏感类材料相关器件的制备。与此同时NanoFrazor针尖虽然温度很高,但是和样品的接触面积只有纳米尺度,所以样品表面不会受到高温影响,样品表面温度升高小于50度。第四,传统光刻技术中,需要通过显影才能观察到光刻图案。而使用t-SPL技术进行光刻时,热敏胶直接被热探针分解,然后再通过同步成像系统可以立即得到刻蚀图案的形貌。同时使用闭环控制刻写深度,保证纵向1nm的刻写精度。在实际使用中,可以对样品表面已有的微结构成像,实时设计套刻图案进行刻写,非常适合科学科研和新品研发。此外,相比于传统的电子束刻蚀等技术产品,NanoFrazor可以在常温常压环境中使用,维护简单费用低。其主要耗材为热探针,耗材费用将低于目前通用的电子束刻蚀系统的耗材维护费用。科研领域的得力干将目前情况来看,国内和国外的主要用户都集中在科研院所。这一特点在推广尚属早期的国内市场尤为突出。QD中国正在尽全力把NanoFrazor和相关技术介绍给中国区的用户。NanoFrazor在国内的高精度3D光刻领域暂无竞争对手,在2D光刻领域与EBL存在着某些重叠。NanoFrazor产于中立国瑞士,受国际政治影响较小。热敏胶由德国AllResist公司生产销售,热探针目前仍然由IBM苏黎世供应,计划明年由德国IMS公司生产提供,不存在卡脖子问题。凭借强大的性能,NanoFrazor帮助科研人员在多领域中取得了一系列优秀成果。在光学方面,苏黎世联邦理工的Nolan Lassaline等人使用NanoFrazor制备了周期性和非周期性的光学表面结构。[3] 制备的多元线性光栅允许利用傅里叶光谱工程精确调控光信号。实验表面,使用NanoFrazor制备的任意3D表面的方法,将为光学设备(生物传感器,激光器,超表面和调制器)以及光子学的新兴区域(拓扑结构,转换光学器件和半导体谷电子学)带来新的机遇。该论文已于2020年经发表于Nature。在电子学方面,纽约城市大学的Xiaorui Zheng等人利用NanoFrazor制备了基于MoS2的场效应管。[4] 他们的研究结果表明,使用t-SPL技术制备的器件很好地解决了困扰EBL工艺的非欧姆接触和高肖脱基势垒等问题。器件的综合电子学性能也远优于传统工艺所制备的器件。该论文于2019年发表于Nature Electronics。在3D微纳加工方面,IBM使用NanoFrazor制备的纳米微流控系统控制纳米颗粒的输运方向,并成功分离不同大小尺寸的纳米颗粒,直径相差1nm的纳米颗粒可以用此方法进行分离[6]。该方法可以用于分离样品中的病毒等纳米物体。该论文于2018年发表于Science。IBM苏黎世研究院的Pires等人利用NanoFrazor的3D加工工艺,成功地制备出了高度仅为25nm的瑞士最高峰马特宏峰,如图5所示。[5] 后经吉尼斯世界纪录认证为世界上最小的马特宏峰。优于新颖的加工工艺和优异的3D加工精度,该论文与2010年发表于Science。图5 利用NanoFrazor制备的高度仅有25nm的世界最小马特宏峰。[5]在二维材料研究方面,NanoFrazor的热探针可以直接用于二维材料的掺杂[7],切割[8]和应力调制[9],开创了二维材料器件制备的新方法。论文于2020年发表于Nature Communications, Advanced Materials和NanoLetters等期刊上。目前国内用户对NanoFrazor在实验上的表现十分满意,已有国内用户在Advanced Materials等顶级期刊发表文章。关于QUANTUM量子科学仪器QUANTUM量子科学仪器贸易(北京)有限公司(以下简称QDC)是世界知名的科学仪器制造商——美国 Quantum Design International 公司(以下简称QD Inc.)在全世界设立的诸多子公司之一。QD Inc.生产的 SQUID 磁学测量系统 (MPMS) 和材料综合物理性质测量系统 (PPMS) 已经成为世界公认的顶级测量平台,广泛的分布于世界上几乎所有材料、物理、化学、纳米等研究领域尖端的实验室。同时QD Inc.还利用自己遍布世界的专业营销和售后队伍打造一个代理分销网络,与世界其他领先的设备制造商合作,为其提供遍布全球的专业产品销售和售后服务网络,2007 年QD Inc.并购了欧洲最大的仪器分销商德国 LOT 公司,使得QD Inc.全球代理分销和售后网络变得更加完整和强大。由于在华业务的不断发展,QD Inc.于2004年在中国注册成立了全资中国子公司QDC。经过10多年的耕耘发展,目前QDC拥有一支高素质的科学技术服务队伍,其中技术销售及售后技术支持团队全部由硕士学历以上人才组成(其中近70%为博士学历),多年来为中国的顶级实验室和科研机构提供专业科学仪器设备、技术支持、以及科技咨询服务。这些优秀的雇员都曾被派往美国总部及欧美日等尖端科研仪器厂家进行专业系统的培训,经过公司十多年的培养,成为具有丰富的科学实验仪器应用经验的专家。他们为中国的研究机构带来了最尖端的产品和最新的科技动态,为中国科研人员的研究工作提供了强有力的支持。QDC作为引进先进技术设备进入中国的桥梁,靠着过硬的尖端产品、坚实的技术实力、一流的服务质量赢得了中国广大科研客户的赞誉。Quantum Design中国子公司还积极致力于发展与中国本地科学家的合作,并将先进的实验室技术通过技术转移进行商业化。目前Quantum Design中国子公司正立足于公司本部产品,积极致力于材料物理、纳米表征和测量技术、生物及生命科学技术领域的新业务。Quantum Design中国子公司已逐渐成为中国与世界进行先进技术、先进仪器交流的一个重要桥头堡。引用文献1. Eleftheriou, E., et al., Millipede-a MEMS-based scanning-probe data-storage system. IEEE transactions on magnetics, 2003. 39(2): p. 938-945.2. https://heidelberg-instruments.com/product/nanofrazor-explore/ .3. Lassaline, N., et al., Optical fourier surfaces. Nature, 2020. 582(7813): p. 506-510.4. Zheng, X., et al., Patterning metal contacts on monolayer MoS 2 with vanishing Schottky barriers using thermal nanolithography. Nature Electronics, 2019. 2(1): p. 17-25.5. Pires, D., et al., Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science, 2010. 328(5979): p. 732-735.6. Skaug et al., Nanofluidic rocking Brownian motors. Science, 2018. 359: p. 1505-1508.7. Zheng, X, et al., Spatial defects nanoengineering for bipolar conductivity in MoS2. Nature Communications, 2020. 11:3463.8. Liu, et al., Thermomechanical Nanocutting of 2D Materials. Advanced Materials.9. Liu, et al., Thermomechanical Nanostraining of Two-Dimensional Materials. NanoLetters.关注Quantum Design中国官方微信公众号,了解更多前沿资讯!(Quantum Design 中国 供稿)
  • ASML首台0.55NA光刻机正式交付Intel
    近日,荷兰光刻机巨头ASML公司宣布,优先向Intel公司交付其新型高数值孔径(High NA EUV)的极紫外光刻机(可能是Twinscan EXE:5200)。根据目前公开可查到的信息,这台光刻机为新型高数值孔径极紫外光刻机,成本要超过3亿美元。体积有一整个卡车车箱大小,是目前最先进的光刻机。而这台光刻机的研发过程,整整持续了10年。英特尔是全球首家获得ASML 该最新型号的高数值孔径的极紫外光刻机的芯片巨头。目前,这台光刻机已从ASML荷兰总部发出,预计很快就可以交到英特尔手中。但这台光刻机要到2026年或2027年,才能真正用到商业芯片制造中。据了解,ASML新交付的高数值孔径光刻机,是NA 0.55的EUV光刻机,是初代EUV NA 0.33的进化版,其核心优点是: half pitch 从13nm—8nm,可以认为是分辨率有大幅提升,主要应用为中段MOL的金属互联,可能会用于GAA的前道。但缺点在于,景深DOF大幅下降,对wafer平整度和翘曲要求更高;field size也有下降,大的芯片要做图案缝合stitching或者改成chiplet小芯片。有消息称,ASML阿斯麦将在2024年生产最多10台新一代高NA(数值孔径) EUV极紫外光刻机,其中Intel就定了多达6台。
  • 中国科大在大量程纳米位移光学感测研究方面取得新进展
    近日,中国科学技术大学微纳光学与技术课题组王沛教授和鲁拥华副教授在大量程纳米位移光学感测研究方面取得重要进展。课题组利用光学超表面(metasurface)设计了一种简捷的光场偏振态空间编码,结合精巧的光学系统设计,发展了一种大量程(百微米量级)、高灵敏(亚纳米)、简捷实用的位移感测技术。该研究成果10月12日以“Ultrasensitive and long-range transverse displacement metrology with polarization-encoded metasurface”为题发表在《科学进展》上。   纳米精度的高灵敏位移测量对于半导体制造、精密加工和先进成像等领域都具有关键性作用。以半导体制造为例,不同层光刻图案的叠对误差对提升产品良率具有重要的作用。一般要求叠对误差测量技术(overlay metrology)的精度优于光刻线条宽度的五分之一。因此,对于10纳米以下节点的半导体制造工艺必须发展纳米及亚纳米的位移感测技术。   以往的研究表明,利用纳米光学天线的定向散射光场可以实现亚纳米位移感测的技术指标。课题组在先前的研究中也分别提出了硅纳米天线对(OE, 26 : 1000-1011, 2018)、表面等离激元天线对(PRL, 124, 243901, 2020)的技术方案。但是基于光学天线散射的感测方法通常只有百纳米的量程,且存在信噪比低的问题,给叠对误差测量等位移感测的实际应用带来较为苛刻的限制。   在这项研究工作中,课题组利用光学超表面独特的位相和偏振调控能力,将空间位置信息编码在光场的偏振取向上,并通过精巧的光学系统设计让光场两次经过超表面结构,从而将超表面相对于光束的横向位移信息转化为读出光强信息。由于超表面结构可以在亚波长精度上调控光场的偏振和位相分布,从而可以极大提高偏振空间编码的梯度,进而提高位移感测的灵敏度。   实验测试证明,这一偏振编码超表面系统的位移感测精度可以达到100皮米(图1)。进一步,课题组通过移相方法实现了测量范围的周期性延拓,并消除了感测灵敏度的“死区”,偏振编码超表面系统的感测量程可以拓展到200微米以上。   与基于光学天线散射的纳米位移感测技术不同,这项研究工作在保持亚纳米的位移感测精度的同时,极大地拓展了感测的量程,而且,通过光强读出位移信息,具有可工程化、简单可靠且精度高的特点,为其在叠对误差测量等位移感测领域的实际应用带来便捷。 图1 偏振编码超表面位移感测系统示意图和实验测试结果   光电子科学与技术安徽省重点实验室的臧昊峰、席铮特任教授和张植宇为该论文的共同第一作者,鲁拥华副教授和王沛教授为共同通讯作者。该工作得到了科技部重点研发项目、国家自然科学基金区域创新发展联合基金和先进激光技术安徽省实验室主任基金的经费资助。
  • 收缩水凝胶扩展纳米制造
    美国卡内基梅隆大学和中国香港中文大学的研究人员开发了一种能利用各种材料创建超高分辨率、复杂3D纳米结构的策略。研究成果近日发表在《科学》杂志上。研究团队此次开发的新技术,为微加工领域的长期挑战找到新的解决方案:一种将可印刷纳米设备的尺寸减小到几十纳米长、几个原子厚的方法。他们的方案与传统的被称为膨胀显微镜的方式相反,他们在水凝胶中创建材料的3D图案,并将其缩小以获得纳米级分辨率。一般3D纳米级打印机聚焦激光点以连续处理材料并需要很长时间才能完成设计,而研究人员开发的飞秒投影双光子光刻技术,能改变激光脉冲的宽度以形成图案化的光片,从而使包含数十万个像素的整个图像在不影响轴向分辨率的情况下立即打印。该方法比以前的纳米打印技术快1000倍,并可能导致具有成本效益的大规模纳米打印用于生物技术、光子学或纳米设备。研究人员引导飞秒双光子激光修改水凝胶的网络结构和孔径,为水分散性材料创建边界,然后将水凝胶浸入含有金属、合金、金刚石、分子晶体、聚合物或钢笔墨水等纳米颗粒的水中。纳米材料被自动吸引到水凝胶中的印刷图案上并完美组装。随着凝胶收缩和脱水,材料变得更加密集并相互连接。如果将打印的水凝胶放入银纳米颗粒溶液中,银纳米颗粒会沿着激光打印的图案自组装到凝胶中。随着凝胶变干,它可收缩到原来大小的1/13,使银密度足以形成纳米银线并导电。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制