当前位置: 仪器信息网 > 行业主题 > >

划片机

仪器信息网划片机专题为您提供2024年最新划片机价格报价、厂家品牌的相关信息, 包括划片机参数、型号等,不管是国产,还是进口品牌的划片机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合划片机相关的耗材配件、试剂标物,还有划片机相关的最新资讯、资料,以及划片机相关的解决方案。

划片机相关的资讯

  • 全球创新性飞秒激光蓝宝石切片机和蓝宝石划片机研发成功
    孚光精仪公司联合德国,俄罗斯和立陶宛合作伙伴历时2年研发的新一代飞秒激光蓝宝石划片机和飞秒激光蓝宝石切片机成功问世,将大幅度提高智能手机蓝宝石屏的加工效果和效率,据悉,这一新技术将在10月份向全球推广。这种飞秒激光蓝宝石划片机和飞秒激光蓝宝石切片机采用全球领先的工业级飞秒激光,突破飞秒激光成本高,效率低的缺点,革命性地提高蓝宝石划片和切割效果,没有毛刺,没有熔融问题产生。经过评估,这种飞秒激光蓝宝石划片机和飞秒激光蓝宝石切片机达到了预定研发目标,具有如下优势:不仅适合蓝宝石划片切割,还适合不同玻璃的加工满足不同形状切割需求高速划片切割,划片速度高达800mm/s光滑切片,粗糙度Ra www.f-opt.cn Tel: 021-51300728, 4006-118-227
  • 中电鹏程已研发出第三代半导体晶圆划片机,预计年底量产
    据扬子晚报报道,中电鹏程已研发出第三代半导体晶圆划片机,晶圆吸真空后产品的平面度小于5微米,实现半导体“卡脖子”设备国产化替代,预计年底开始量产。图片来源:扬子晚报2020年9月,中电鹏程智能装备公司在南京江宁开发区揭牌运营,由中国电子信息产业集团有限公司下属“中电工业互联网有限公司”与“深圳长城开发科技股份有限公司”共同投资组建,是落实中国电子“两平台一工程”战略布局的标志性项目。据扬子晚报报道,中电鹏程相关负责人介绍,国内和国际巨头在第三代半导体以及装备研发方面正处于发展初期,基本处于同一起跑线,现在研发第三代半导体装备,就是想要实现弯道超车的目标。
  • 郑州先进微电子(新ADT)发布最新产品 8230系列12英寸全自动双轴划片机
    p style=" text-align: center " img style=" max-width:100% max-height:100% " src=" http://106.54.196.49:8070/api/2020-10-30/1604027113969.jpg" / /p p style=" text-align: justify text-indent: 2em " 10月29日,先进微电子装备(郑州)有限公司最新产品发布会在合肥举行,在本次发布会上先进微电子向业内各界展示了由ADT中国研发团队携手以色列ADT研发团队及英国LP研发团队精心打造的12英寸全自动双轴划片机。同时借此机会,先进微电子也亮相了一系列面向行业需求和应用场景的晶圆及封装模组的切割划片解决方案,以满足各家用户在半导体芯片生产过程中对于精度、效率和灵活性的更高需求。 /p p style=" text-align: justify text-indent: 2em " 在本次发布会上,首先由ADT全球副总裁JESSE PARKER先生介绍了公司在国际、国内市场的拓展情况,对此次的新产品作了简要介绍。最后由先进微电子装备(郑州)有限公司董事长赵彤宇致辞,他提到,近两年整个国内半导体行业都面临着前所未有的压力,不管是从用户需求还是市场挑战,每一个业内人士都需要进行自我改变和产品升级,以达到突破性的成果。而这也正是先进微电子及其子公司一直以来的执着追求,不断为市场和客户提供高效、可靠、易于操控的高端切割划片机解决方案。 /p p style=" text-align: justify text-indent: 2em " 作为一家中国企业,先进微电子装备(郑州)有限公司在成立初期,便由河南省政府、郑州市政府、上市公司光力科技、中科院微电子所下属基金等多家政府平台、企业平台和中国科学院平台共同出资构建,力在打造一个成熟的、能够在半导体芯片封测高端装备领域起到龙头作用的、专注于半导体设备研发、生产和销售的高科技企业。2019年10月,公司全资收购了全球第三大半导体切割设备生产商——以色列先进切割技术有限公司(ADT,Advanced Dicing Technologies)。收购完成后,在多方技术融合的同时公司大力投入创新研发,在结合国际与国内市场情况及客户需求的基础上,经过不到1年的时间就研发出12英寸全自动双轴划片机,实现了晶圆及封装模组切割划片的整机、技术方案、售后服务的全面国产化布局。 /p p style=" text-align: justify text-indent: 2em " ADT 8230是一款高效率、高精度、高性能、低使用成本的双轴(对向)全自动划片机。最大切割工件尺寸可达12英寸。8230系列使用最新开发的图形用户界面(GUI),17英寸触摸显示屏具有更好的灵活性和视觉效果。所配备的空气主轴转速可达60,000rpm/1.8KW,更换刀片时可锁定主轴,操作更快速、便捷,实现了晶圆等产品搬送、拉直、切割的全自动化。同时可基于自动刀痕检查功能进行自我调整,优化切割品质。在机器运转过程中具有切割过程信息记录功能、耗材(Blade)使用记录分析功能,设备生产数据记录分析功能和操作员生产数据记录分析功能,能够进行设备生产效率分析和人员绩效分析,大大提高了用户的生产管理效率和管理的精准度。 /p p style=" text-align: justify text-indent: 2em " ADT 8230采用17寸触摸屏,切割过程中可以同时监控机器运行状态;下拉式多信息显示界面,对机器状态可一目了然;追随式键盘设计,更高效的数据编辑;局部放大镜功能大幅提升对准精度;同时可根据用户的使用习惯对用户界面进行定制;在该设备的结构特点和优势方面,采用了软硬件均模块化的设计,更大功率的空气主轴,UV照射解胶,强制排风,去离子风扇,工作台无限制旋转等,还可快速增加联机联网功能。 /p p style=" text-align: justify text-indent: 2em " ADT作为世界三大切割划片系统供应商之一,所生产的设备在切割划片精度、效率、切割品质等方面处于世界领先水平,其设备被广泛应用于LED封装、LED砷化镓晶圆、分立器件晶圆、无源器件、微电子传感器、晶圆级相机模组、图像传感器、摄像机镜头、红外滤光片、光纤、射频通信、医疗传感器、组装与封装、磁头、硅片等领域。其客户涵盖华为、TE、Epson、Diodes、长电科技等60多家全球知名企业。 /p p style=" text-align: justify text-indent: 2em " 收购之后,先进微电子主营ADT品牌的切割划片机、周边设备以及刀片等产品。销售总部(上海精切半导体设备有限公司)设立在上海浦东,在全球进行销售和售后服务的网点遍布于美国(亚利桑那州和宾夕法尼亚州)、中国台湾地区、菲律宾、欧洲(英国)和以色列等地;在苏州纳米城设有约500m2的千级洁净室及全套对晶圆和电子产品进行切割划片试验、设备DEMO的应用开发和客户培训的基地;在国内除上海的技术服务团队外,其服务网点和工程师遍布于苏州、天津、成都、深圳等地,可为大陆客户提供及时的技术服务。在未来,公司将整合国际化技术资源以及创新研发能力,努力实现中国半导体高端切割划片系统的国产化替代,积极迎接半导体行业即将带来的机遇与挑战。 /p p style=" text-align: justify text-indent: 2em " 先进微电子表示,目前多台新设备ADT 8230已在多家国内知名半导体集成电路封测企业进行生产性试用与评测,已获得了这些用户的好评。 /p
  • 计划总投资4.3亿元!圣昊光电芯片检测及关键设备研发生产基地项目开工
    4月23日,河北圣昊光电科技有限公司投资建设的芯片检测及关键设备研发生产基地项目开工。该项目位于石家庄市高新区,为战略性新兴产业项目,已列入河北省2022年省重点建设项目,计划总投资4.3亿元,建设规模约4.4万平方米。芯片检测及关键设备研发生产基地项目主要用于光通信芯片检测及关键设备研发生产。项目建成后,在优化办公环境、扩大生产规模的同时,将有助于吸引全球优秀科技人才,使科技成果快速转化为生产力,为企业和社会创造良好的经济和社会效益。据了解,河北圣昊光电科技有限公司成立于2017年,注册资金3600万元,位于石家庄鹿泉经济开发区,现有职工50人,其中研发人员20名,公司是省高新技术企业、国家科技型中小企业,主要从事光通信芯片测试设备、划片裂片设备、排Bar设备制造和激光器、探测器、双抛片的分割、外观检测、电性能测试等代工服务,通过质量管理体系认证、武器装备质量管理体系认证,欧盟CE产品认证,建有4条芯片产品代工生产线,具备年加工激光器收光(PD)芯片12亿颗、激光器发光(LD)芯片1.2亿颗能力;建有芯片测试和划片裂片设备试验组装车间,具备年生产测试机150台、划片机裂片机100套能力。2020年4月以来先后有SY-0110A化合物半导体芯片划片机、芯片测试机、PD接收器等9款产品实现产业化。主要客户为中国电科13所、44所、华为海思光电子、仕佳光电、厦门三安集成电路等企业。2018年,公司实现营业收入91.5万元,2019年实现营业收入134.6万元,2020年1-10月实现营业收入2000万元,利润400万元,全年预计达到6000万元,利润1200万元,明年预计营收可达到3亿元,利润6000万元。圣昊广电首席技术官曾在国外工作23年,是业内著名芯片评测专家,公司与清华大学、北京理工大学、西安电子科技大学、石家庄铁道大学开展紧密合作,并与西安电子科技大学郝跃院士团队签署合作协议。圣昊光电的低温LD芯片测试机、双温LD芯片测试机产品已进入华为公司设备供应链,成为华为光通信芯片检测设备国内唯一合格供应商。
  • 长光华芯即将登陆科创板 高功率半导体激光芯片前景可期
    3月15日,苏州长光华芯光电技术股份有限公司(以下简称“长光华芯”)刊登《发行安排及初步询价公告》《招股意向书》等公告文件,这意味着该公司已经启动发行,即将登陆科创板,将成为A股第一家半导体激光芯片上市公司。 长光华芯本次IPO发行募集资金重点投向科技创新领域的项目为“高功率激光芯片、器件、模块产能扩充项目”“垂直腔面发射半导体激光器(VCSEL)及光通讯激光芯片产业化项目”及“研发中心建设项目”。 其中,高功率激光芯片、器件、模块产能扩充项目总投资5.99亿元,包括购置厂房、MOCVD (外延生长)、流片、巴条上盘预排机、激光划片、自动粘片机等相关设备,整体扩大公司高功率半导体激光芯片、器件、模块产品的产能规模。VCSEL及光通讯激光芯片产业化项目投资3.05亿元,项目有利于实现VCSEL芯片和光通讯芯片产业化,拓展至消费电子、汽车雷达、光通讯等更多应用领域,该项目的实施能够丰富公司原有产品结构,为公司提供新的增长点。借助登陆资本市场的契机,长光华芯将进一步加大研发投入,对半导体激光芯片及高效泵浦技术、光纤耦合半导体激光器泵浦源模块技术和大功率高可靠性半导体激光器封装技术等激光领域前沿技术进行研究,打造可持续领先的研发能力和新方向拓展能力,助力高功率激光技术的创新发展。据悉,长光华芯聚焦半导体激光行业,始终专注于半导体激光芯片、器件及模块等激光行业核心元器件的研发、制造及销售,紧跟下游市场发展趋势,不断创新生产工艺,布局产品线,已形成由半导体激光芯片、器件、模块及直接半导体激光器构成的四大类、多系列产品矩阵,为半导体激光行业的垂直产业链公司。得益于前期大量的研发投入,2021年长光华芯实现营业收入4.29亿元、净利润1.15亿元,较2020年增长率分别达到73.59%和340.49%。
  • 华虹宏力“用于晶圆芯片并行测试的模拟量测试焊盘排布结构”专利获授权
    天眼查显示,上海华虹宏力半导体制造有限公司近日取得一项名为“用于晶圆芯片并行测试的模拟量测试焊盘排布结构”的专利,授权公告号为CN112147487B,授权公告日为2024年7月23日,申请日为2020年9月25日。背景技术在晶圆出厂前,需要对晶圆上的芯片进行测试,以判断芯片性能的好坏。在晶圆芯片测试中,目标晶圆被安装在测试机台上,其上目标芯片的测试焊盘(pad)通过探针卡与测试机台电性耦合,由测试机台通过执行测试指令,以完成对目标芯片的测试过程。测试完一个芯片,探针卡与下一目标芯片的测试焊盘电性耦合,以继续进行测试。为了提高测试效率,降低测试成本,在进行晶圆芯片测试时,通常需要对晶圆上的芯片进行并行测试,即同一时间内对目标晶圆的多个目标芯片进行测试,或,在单个目标芯片上同步或异步运行多个测试任务,以同时完成对目标芯片多项参数的测试。在进行晶圆芯片并行测试时,通常还需通过测量特定测试焊盘,以获取目标芯片的模拟电参数值,并对该目标芯片的模拟电参数进行调整。随着晶圆上芯片集成度的不断提高,目标芯片中需要调整的电参数的种类不断增多,因此所需的特定测试焊盘的数量也不断增加。然而,相关技术中用于获取目标芯片模拟电参数值的测试焊盘,与目标芯片上的功能焊盘无法复用,需要单独制作,因此过多的测试焊盘会占用芯片的较大面积,对芯片的性能产生不利影响。发明内容本申请涉及晶圆测试领域,具体涉及一种用于晶圆芯片并行测试的模拟量测试焊盘排布结构。所述晶圆包括若干个呈阵列式分布芯片,相邻芯片之间形成划片槽;相邻两列芯片之间形成纵向划片槽,相邻两排芯片之间形成横向划片槽;位于各个所述芯片周围的划片槽中,设有模拟量测试焊盘,所述模拟量测试焊盘与对应芯片电性耦合。本申请通过将模拟量测试焊盘设于对应芯片周围的划片槽中,在节省焊盘占用芯片面积的同时,通过保证在进行晶圆测试时所述模拟量测试焊盘与对应芯片电性耦合,即能够保证在晶圆芯片模拟参数并行测试的过程正常进行。
  • 济宁市2023年上半年招商引资重点项目——嘉祥县瑞芯IC半导体芯片研发生产基地项目
    7月31日至8月2日,济宁市举行上半年绿色低碳高质量发展暨招商引资重点项目现场观摩会。31日下午,观摩会来到济宁市嘉祥县,对瑞芯IC半导体芯片研发生产基地项目进行现场观摩。该项目由济宁瑞芯半导体与扬州扬杰电子共同投资建设,投资4.8亿元,总建筑面积9360平方米,主要购置安装划片机、激光切割机、分选机、芯片性能测试仪等设备120余台,新上高压硅堆生产线10条。项目依托上海交大、东南大学和扬州扬杰电子科技股份有限公司,拥有国内一流大功率半导体功率器件芯片生产及研发技术,产品线涵盖分立器件芯片、整流器件、保护器件等,广泛应用于消费类电子、安防、工控、汽车电子、新能源等领域,远销美国、德国、俄罗斯、意大利等多个国家和地区,其生产的旁路二极管、光伏二极管等系列产品取代了德国进口。项目全面投产后,可年产芯片1000万件,产能跻身国内前三强,新增销售收入8亿元、利税2.3亿元,带动上下游就业人员300余人。
  • 超百亿半导体封测设备市场的国产化率亟需提升
    传统半导体封装主要实现对芯片的保护和电信号的对外连接,其工艺流程为:划片、装片、键合、打线、塑封、电镀、上球、打标、切筋成型等工序 先进封装则进入到晶圆级领域,将多颗晶圆通过堆叠、硅通孔乃至异质键合等微纳加工技术将芯片提升至系统级水平,同时实现更小的体积,更低的功耗和更高的速度。在晶圆制程技术提升放缓的大背景下,先进封装成为延伸摩尔定律的一大支柱。封装设备技术和加工制造能力是封装行业发展的要害与瓶颈。全球封装设备呈现寡头垄断格局,ASM Pacific、K&S、Besi、Disco、Towa、Yamada等公司占据了绝大部分的封装设备市场,行业高度集中。据统计,全球封装设备市场总体规模约40亿美元,其市场规模近年来不断扩大,2018年全球封装设备市场规模占全球半导体设备市场比例为6.2%,仅为制程设备市场规模的1/13,也略低于测试设备市场规模。在先进封装应用的推动下,封装设备市场规模预计2021年将增长56%,达到60亿美元。中国大陆半导体产业起步较晚,整体上落后于以美国、日本为代表的国际半导体强国,但凭借政府重大科技“02专项”以及持续出台的多项半导体行业相关政策的支持,其半导体产业发展迅速。目前,中国大陆集成电路封测环节发展成熟度好于晶圆制造环节,近十年来集成电路封装测试行业销售总额保持连续增长,由2011年的976亿元增长至2020年的2,510亿元,复合增长率高达11.07%,但封装设备与测试设备中国国产化率均远低于晶圆制程设备的国产化率。据中国国际招标网数据统计,封测设备国产化率整体上不超过5%,低于制程设备整体上10%-15%的国产化率,且缺乏具有国际知名度的大型封装设备制造厂商,封装设备的国产化亟需产业自强和产业链及政策重点培育。目前中国大陆各类封装设备绝大部分被进口品牌主导,装片机主要品牌为ASM Pacific、Besi、日本FASFORD和富士机械,倒片机主要品牌为ASM Pacific、Besi;打线设备主要品牌为美国K&S、ASM Pacific、日本新川等;划片切割及研磨设备主要品牌为DISCO、东京精密等;塑封系统主要品牌为Besi、日本Towa、ASM Pacific和日本Yamada。经过多年的技术积累及市场培养,部分国内半导体封装设备厂商的设计制造能力日渐成熟,全自动塑封系统和全自动切筋成型系统实现了中国国产设备从无到有的突破,并逐渐发展壮大。中国大陆半导体塑封设备市场主要包含手动塑封压机、传统封装领域的全自动封装系统以及先进塑封设备。手动塑封压机目前能满足TO类、SOP、DIP等不同产品的塑封需求,已替代进口实现国产化。全自动封装系统国产设备代表公司为文一三佳科技股份有限公司及安徽耐科装备科技股份有限公司,现有机型能满足SOD、SOT、SOP、DIP、QFP、DFN等大多数产品的塑封要求。经过多年的发展,虽然与国外一流品牌尚有差距,但差距在不断缩小,正在逐步替代进口实现国产化。封装企业转变观念,大力扶持国产设备的技术进步和生产应用,使得国产设备在很多性能方面取得明显进步。全自动封装系统和全自动切筋成型系统在良率、稳定性、UPH及MTBA等性能指标方面已经达到国际先进水平,配合自主研发的移动预热台系统、树脂称重系统和自润滑系统等创新功能,市场认可度不断提高。以长电科技、通富微电及华天科技为代表的大型知名封测厂商均已逐年加大了国产设备的采购比例,国产设备与日本Towa、Yamada等国际知名品牌设备在同一封装生产车间里齐头并进生产运行已经屡见不鲜。先进封装在提升芯片性能方面展现的巨大优势吸引了全球各大主流IC封测厂商乃至台积电、Intel等晶圆制造厂商在该领域的持续投资布局。以板级和晶圆级封装为代表的先进封装对塑封设备提出更高的技术,需要采取将半导体芯片浸入事先液化的流动性树脂内进行树脂固化的加工方式。国际设备公司已相继开发了先进塑封设备,而中国产先进塑封设备目前还处于初级开发阶段,更需要在新跑道上努力前行,提升产品竞争力和附加值。据相关机构统计,2020年中国大陆半导体全自动塑封系统目前市场规模约为20亿元,其中Towa每年销售量约为200台、Yamada约为50台、Besi约50台、ASM约50台、文一三佳科技股份有限公司及安徽耐科装备科技股份有限公司每年各20台左右。中国大陆现有手动塑封压机存量超过10,000台,每年新增约500台,根据劳动力和成本限制情况,手动塑封压机新增数量将呈递减趋势,存量市场也将在未来5至10年内逐步被全自动塑封系统替代。可以预见中国大陆手动塑封压机各种形式的自动化升级改造潜在市场规模约500亿元。此外,在全自动切筋成型系统方面,中国大陆部分国产设备厂商技术已趋于成熟,市场需求每年约65亿元。随着中国大陆承接第三次半导体产业转移的行业机遇,且随着AI、物联网、新能源汽车、5G通信、可穿戴设备等行业的飞速发展,半导体封测行业市场规模也将迅猛增长。以长电科技、通富微电、华天科技为代表的中国半导体封装企业已进入全球封测行业前十,在全球封测市场占据重要的地位。受中美经济摩擦的影响及中国国家产业政策的支持,中国大陆产生大量半导体封测新兴企业,催生了对封装设备的巨大购买力,其半导体封测行业市场规模占全球市场规模比例有较大的提升。中国大陆国产半导体封测设备企业应当抓住时代机遇,加大研发投入和自有知识产权建设,主动寻求与下游封测厂商的合作机会,持续开发新产品及配套的系统升级,对标国际先进技术,不断提高设备综合性能,尽快提升封装行业的设备国产化率并在先进封装设备领域实现良好开端,逐步完善设备产品链,用中国制造的设备促进国际半导体封装行业的进步与繁荣。
  • 大族激光显视与半导体相城产业化项目签约落地
    1月22日,今日相城政务发布消息显示,中国激光装备行业领军企业大族激光科技产业集团股份有限公司“牵手”苏州相城!大族激光显视与半导体相城产业化项目签约落地。据了解,相城区是江苏省苏州市下辖区,位于苏州市中心。2017年12月14日,获评中国工业百强区。2018年10月,入选2018年度全国投资潜力百强区、全国科技创新百强区、全国绿色发展百强区。 2018年10月22日,入选2018年全国农村一二三产业融合发展先导区创建名单。2018年11月,入选2018年工业百强区。2019年10月,成为全国综合实力百强区排行榜(全国百强区)第30名。2019年10月,被评为2019年度全国投资潜力百强区第56名。2019年度全国新型城镇化质量百强区、2019年度全国科技创新百强区。而大族激光科技产业集团股份有限公司,1996年创立于中国深圳,是亚洲最大、世界排名前三的工业激光加工设备生产厂商。目前全球员工超过1万人,总资产逾70亿元。大族激光显视与半导体装备事业部成立于2010年,聚焦于LED、面板、半导体、光伏、消费类电子等行业的精细微加工和相关联行业的测量、检测和自动化解决方案,是国内首家半导体激光开槽设备、激光解键合设备研发生产制造商。据悉,该项目计划总投资6000万美元,其显视与半导体装备事业部将在相城经开区投资半导体封测前段晶圆研磨、划片工艺的激光切割项目。此次项目签约,可谓是强强联合。
  • 密集发货!中国电科高端半导体装备发展提速
    新年伊始,中国电科自主研发的SiC外延炉、激光封焊设备、HP-6100自动划片机等高端半导体装备开启密集“发货模式”,以实干创新引领保障高质量发展。自主研发的40台SiC外延炉成功在客户现场进驻,技术团队正加快做好安装、调试工作,确保设备交付验收。SiC是制作高温、高频、大功率电子器件的理想材料,在新能源汽车、光伏产业、高压输配线和智能电站等领域应用需求日益增加。SiC外延设备作为第三代半导体SiC器件制造核心装备之一,研制难度极大,在整个产业链中起着承上启下的重要作用。目前,SiC外延炉已成功出货百余台,稳定的设备性能持续收获多个市场订单和客户好评。自主研发的激光封焊设备顺利发往客户现场,这是今年首台、累计第五十台设备发货,深受用户好评。激光封焊设备是微波组件制造的关键装备,主要用于组件的气密性封装,可有力保护内部裸芯片及电路免受外部环境影响,保障组件性能的长期可靠性和稳定性。设备在国内市场占有率常年稳居领先地位,有力保障了微组装领域的创新发展。自主研发的数十台HP-6100自动划片机批量发往客户现场。划片机是半导体封装环节的重要设备,HP-6100自动划片机广泛用于多种材料切割,尤其擅长多片切割,适用于陶瓷基材类、玻璃、封装体类、硅晶圆类、分立器件的划切工艺,是划切产品中历经多年打磨深耕的“明星机型”。科研团队正加快推进技术攻关和更新迭代,为实现高水平科技自立自强贡献力量。
  • 2013年激光行业前景分析
    激光是20世纪60年代发展起来的一门新兴科学。它是一种具有亮度高、方向性好、单色性好等特点的相干光。   激光应用于材料加工,使制造业发生了根本性变化,解决了许多常规方法无法解决的难题。在航天工业中,铝合金用激光焊接的成功被认为是飞机制造业的一次技术大革命。激光加工技术在汽车工业中的使用,实现了汽车从设计到制造的大变化,优化汽车结构,减轻了汽车自重,最终使汽车性能提高,耗油量降低。激光精加工和激光微加工不仅促进了微电子工业的发展,而且为微型机械制造提供了条件。另外,传统加工方法大都为力的传递,因此加工速度受到限制,而激光加工更多地是光的传递,惯性小,柔性大,而且激光能量密度高,加工速度可以很快,激光加工被誉为“未来制造系统共同的加工手段”。总之激光加工技术在世界范围内的迅猛发展正在引起一场新的工业革命,最终使材料加工业从目前的电加工时代过渡到光加工时代。   2012年在全球经济低迷不振的大环境下,激光器制造商在“经济余震”中所经历的不确定性和担忧,在经济大衰退之后的几年内将依然存在。然而从长远销售预期来看,在很多几乎不受地域或者全球性经济衰退影响的领域,激光正在作为一种成熟的、对经济增长发挥重要作用的技术,呈现出上扬态势。尽管预计全球债务危机将会限制2013年的某些资本设备支出,但是激光器有望凭借“能实现制造自动化、提高效率、降低能耗,进而使企业在经济风暴中更具竞争力”的优势脱颖而出。   半导体制造业发展迅速,“绿色”技术无疑具有光明的未来,这就要求有新的激光加工工艺与技术来获得更高的生产品质、成品率和产量。除了激光系统的不断发展,新的加工技术和应用、光束传输与光学系统的改进、激光光束与材料之间相互作用的新研究,都是保持绿色技术革新继续前进所必须的。2013年激光技术在半导体行业将会取得怎样的成绩呢?   半导体市场:黯然神伤   虽然智能电子设备组件的微加工将继续为光纤激光器制造商带来利好势头,但是主要依赖于半导体资本设备采购的激光器制造商,将在2013年遭遇坎坷。   “随着半导体行业从45nm转向20nm甚至更高的节点,需要更多的制造步骤处理更多的层和新材料,这导致资本强度增加。”半导体设备暨材料协会(SEMI)行业研究与统计高级总监DanTracy表示,“2010年和2011年,半导体行业在产能扩充方面实现了坚挺恢复,同时也转向了更加先进的工艺技术。而2012年产能扩张的减少,为半导体行业带来了更多不确定性,一些分析师预计2013年半导体行业的资本支出将出现负增长。”Tracy还补充道,半导体资本设备市场存在着周期性,最近报道的设备数据反映了2012年下半年更加低迷的行业状况。2012年10月的订单出货比为0.75,订单量约比2011年10月下跌20%。   “对于微电子行业来讲,2012年将是一分为二的年头,”相干微电子部门营销总监DavidClark表示,“预计2013年传统消费电子产品,如笔记本电脑、PC、数码相机、硬盘驱动器和电视机将非常不景气,但是平板电脑和智能手机以及相关组件将会以惊人的速度增长。这无疑是个好消息,因为这些移动设备组件很多都是使用相干的激光器制造的,相干的这部分业务将会继续强劲增长。”Clark补充说,“如果基于Windows8的超级本和平板电脑在企业市场获得真正成功,相信这必将刺激2013年IC销售额的限制增长。”   ICInsihts公司也看到了类似趋势,其预计2013年电子设备的销售额将增长5%,2012年的增长率为3%。Clark对更长远的趋势也持乐观态度,他表示,“4G-LTE无线网络建设、互联网流量的持续增长、云计算的采用一级即将向450nm晶圆的迁移,所有这些都将促使未来几年内半导体资本支出方面出现重大投资。”   相干2012年第四财季(截至2012年9月29日)的销售额,从上年同期的2.08亿美元下降到1.89亿美元 与上个季度相比,订单量下降近23%。相比之下,Newport则由于研发市场和工业市场的强劲表现而实现了创纪录的销售额 当然半导体资本支出的疲软也使其受到了一定影响,其第四财季(截至2012年9月29日)微电子业务销售额比上年同期下降了9.7%,降至1.1亿美元。   作为一家主要为半导体行业提供光刻光源的供应商,Cymer公司2012年第三季度(截至2012年9月30号)的总营收约为1.32亿美元,基本与上年同期持平,但低于2012年第二季度1.49亿美元的总营收。2012年10月,Cymer公司被荷兰ASML公司以大约26亿美元的价格收购 2012年第三季度,Cymer出货了27套紫外系统,并向ASML交付了其首款极紫外光源,曝光功率为30W。   Cymer公司和日本Gigaphoton公司是业界领先的极紫外光源制造商,依据摩尔定律,他们会继续享受业务增长。但是研究超短、超高功率激光脉冲(如用于光与物质相互作用研究的极强光设施)的激光器制造商,正在寻求超越摩尔定律。   “早在2007年,来自美国能源部基础能源科学顾问委员会的一份报告就显示,当集成电路制造达到分子级或纳米级的时候,其将远远超越摩尔定律的限制。一个基于纳米芯片的超级计算机,可以舒适地握在掌中,且耗电极低。”CalmarLaser公司营销总监TimEdwards说,“这使得激光产业令人兴奋不已——没有激光发挥举足轻重的作用,分子尺度的未来将无法实现。飞秒光纤激光器制造商始终致力于提升脉冲到脉冲之间的稳定性,以满足眼科、光谱、DNA分析、分子成像、薄膜太阳能电池加工以及计量等应用的苛刻要求,所有这些都提供了广阔的科研激光市场,但是不知为何激光市场并未快速增长。”   随着激光技术的发展,激光技术必将在未来的半导体行业发展中扮演越来越重要的角色。接下来为激光技术在半导体行业的一些应用:   1 激光技术在晶片/芯片加工领域的应用   1.1在划片方面的应用   划片工艺隶属于晶圆加工的封装部分,它不仅仅是芯片封装的关键工艺之一,而是从圆片级的加工(即加工工艺针对整片晶圆,晶圆整片被同时加工)过渡为芯片级加工(即加工工艺针对单个芯片)的地标性工序。从功能上来看,划片工艺通过切割圆片上预留的切割划道(street),将众多的芯片相互分离开,为后续正式的芯片封装做好最后一道准备。   目前业界讨论最多的激光划片技术主要有几种,其主要特征都是由激光直接作用于晶圆切割道的表面,以激光的能量使被作用表面的物质脱离,达到去除和切割的目的。但是这种工艺在工作过程中会产生巨大的能量,并导致对器件本身的热损伤,甚至会产生热崩边(Chipping),被剥离物的沉积(Deposition)等至今难以有效解决的问题。 与很多先行技术不同,传统旋转砂轮式划片机的全球领导厂商东京精密公司和日本著名的激光器生产商滨松光学联合推出了突破传统理念的全新概念的激光划片机MAHOH。其工作原理摒弃了传统的表面直接作用、直接去除的做法 而采取作用于硅基底内的硅晶体,破坏其单晶结构的技术,在硅基底内产生易分离的变形层,然后通过后续的崩片工艺使芯片间相互分离。从而达到了无应力、无崩边、无热损伤、无污染、无水化的切割效果。   1.2在晶片割圆方面的应用   割圆工艺是晶体加工过程中的一个重要组成部分。早期,该技术主要用于水平砷化镓晶片的整形,将水平砷化镓单晶片称为圆片。随着晶体加工各个工序的逐步加工,在各工序将会出现各种类型的废片,将这些废片加工成小直径的晶片,然后再经过一些晶片加工工序的加工,使其变成抛光片。   传统的割圆加工方法有立刀割圆法、掏圆法、喷砂法等。这些方法在加工过程中对晶片造成的损伤较大,出片量相对较少。随着激光加工技术的发展,一些厂家对激光加工技术引入到割圆工序,再加上较为成熟的软件控制,可以在一个晶片上加工出更多的小直径晶片。   2 激光打标技术   激光打标是一种非接触、无污染、无磨损的新标记工艺。近年来,随着激光器的可靠性和实用性的提高,加上计算机技术的迅速发展和光学器件的改进,促进了激光打标技术的发展。   激光打标是利用高能量密度的激光束对目标作用,使目标表面发生物理或化学的变化,从而获得可见图案的标记方式。高能量的激光束聚焦在材料表面上,使材料迅速汽化,形成凹坑。随着激光束在材料表面有规律地移动同时控制激光的开断,激光束也就在材料表面加工成了一个指定的图案。激光打标与传统的标记工艺相比有明显的优点:   (a)标记速度快,字迹清晰、永久   (b)非接触式加工,污染小,无磨损   (c)操作方便,防伪功能强   (d)可以做到高速自动化运行,生产成本低。   在晶片加工过程中,在晶片的特定位置制作激光标识码,可有效增强晶片的可追溯性,同时也为生产管理提供了一定的方便。目前,在晶片上制作激光标识码是成为一种潜在的行业标准,广泛地应用于硅材料、锗材料。   3 激光测试技术   3.1激光三角测量术   微凸点晶圆的出现使测量和检测技术面临着巨大的挑战,对该技术的最基本要求是任一可行的检测技术必须能达到测量微凸点特征尺寸所需的分辨率和灵敏度。在50μm节距上制作25μm凸点的芯片技术,目前正在开发中,更小凸点直径和更节距的技术也在发展中。另外,当单个芯片上凸点数量超过10000个时,晶圆检测系统必须有能力来处理凸点数迅速增加的芯片和晶圆。分析软件和计算机硬件必须拥有足够高的性能来存储和处理每个晶圆上所存在的数百万个凸点的位置和形貌数据。   在激光三角检测术中,用一精细聚焦的激光束来扫描圆片表面,光学系统将反射的激光聚焦到探测器。采用3D激光三角检测术来检测微凸点的形貌时,在精度、速度和可检测性等方面,它具有明显的优势。   3.2颗粒测试   颗料控制是晶片加工过程、器件制造过程中重要的一个环节,而颗粒的监测也就显得至关重要。颗粒测试设备的工作原理有两种,一种为光散射法 另一种为消光法。   对于悬浮于气体中的颗粒,通常采用光散射法进行测试,同时某些厂家利用这种工作原理生产了测试晶片表面颗粒的设备 而对于液体中的颗粒,这两种方法均适用。   4 激光脉冲退火(LSA)技术   该技术通过一长波激光器产生的微细激光束扫描硅片表面,在一微秒甚至更短的作用时问内产生~个小尺寸的局域热点。由于只有上表面的薄层被加热,硅片的整体依然保持低温,使得此表面层的降温速率几乎和它的升温速率一样快。从固体可溶性的角度考虑,高峰值温度能够激活更多的掺杂原子,此外正如65nm及以下工艺所求的那样,较短的作用时间可以使掺杂原子的扩散降到最低。退火处理的作用范围可以限制在硅片上的特定区域而不会影响到周围部位。   该技术已经应用于多晶硅栅极的退火,在减少多晶硅的耗尽效应方面取得了显著的效果。K.Adachi等将闪光灯退火和激光脉冲退火处理的MOS管的Ion/Ioff进行了比较,在pMOS-FET和nMOSFET中,采用激光脉冲退火处理的器件的漏极电流要大10%,器件性能的增强可以直接归因于栅电极耗尽效应的改善和寄生电阻的减小。
  • 涉及1556台仪器,年产100亿只芯片项目工艺流程曝光
    半导体生产流程由晶圆制造、晶圆测试、芯片封装和封装后测试组成。所谓封装测试其实就是封装后测试,把已制造完成的半导体元件进行结构及电气功能的确认,以保证半导体元件符合系统的需求的过程称为封装后测试。对此,仪器信息网特通过公开文件了解到池州华宇电子科技有限公司年产 100 亿只高可靠性集成电路芯片先进封装测试产业化项目情况。据了解,池州华宇电子科技股份有限公司投资 15800 万元在池州市经济技术开发区凤凰大道与前程大道交叉口新建“年产 100 亿只高可靠性集成电路芯片先进封装测试产业化项目”,项目占地面积 65 亩,中心坐标为东经 117.543982°, 北纬 30.705040°。建设主体工程1#厂房,配套建设办公楼、科研楼、宿舍楼等辅助工程以及储运工程、公用工程和环保工程等,购置切割机、研磨机、键合机、焊线机、 编带机、成型机、镀锡设备、双轨机、塑封压机等半导体自动化设备,建设高性能高可靠性集成电路芯片封装测试生产线,形成年产 100 亿只集成电路线宽小于等于 0.8 微米集成电路芯片封测能力。项目分两期建设,一期建设3条镀锡(自动)生产线,形成年产 50 亿只集成电路线宽小于等于 0.8 微米集成电路芯片封测能力;二期建设 3 条镀锡(1 条挂镀)生产线,形成年产 50 亿只集成电路线宽小于等于 0.8 微米集成电路芯片封测能力。该项目配置清单和工艺流程详情如下,主要配套设备一览表主要工艺流程及产污环节:本项目主要是将待封装的芯片进行封装、镀锡、测试。本项目一期工程主体工艺 流程如下。①主体工艺:项目主体生产工艺流程及产污环节图工艺流程说明:磨划片:通过研磨机将芯片磨至需要的厚度,磨片过程中用纯水冲洗,磨片完成后进行切割,切割完成后用纯水冲洗,磨划过程会产生少量废水 W1 与固废 S4; 粘片:目的是将单个的芯片固定在基材(引线框架/基板)上。该过程采用导电胶进行粘片,导电胶的成分为树脂和银粉。粘片过程会产生少量废引线基材 S1;键合:接线温度 T=120-200℃,接线时间 t=0.5-1 秒。在压力和超声波键合的共同作用下,利用高纯度的金丝或铜丝把芯片上电路的外接点和引线(框架管脚)通过引线键合的方法连接起来。该过程主要产生少量废金属 S2(废铜线等)。塑封:采用环氧树脂塑封材料将部分框架和焊线后的芯片封装,对组装件进行保护,该过程在自动塑封机内完成,主要产生少量废胶渣 S3。塑封过程中树脂熔融状态会产生有机废气 G1。激光打标:采用激光机,在相应部位打上标记。激光机在打标过程会产生有机废气 G2 和粉尘 G1。表面处理:采用电镀流水线进行无铅镀锡处理。切筋:镀锡后的元件通过引线连在一起,因此需要将引线切断,以将整条元件分割成单片。切筋后形成的单片,即为封装完成的集成电路。该过程主要产生边角料 S6。测试、检验:对封装完成的单片进行测试以及抽检。该过程产生的不合格品将返工。包装:对测试、检验合格品进行包装入库。②镀锡工艺:项目镀锡工艺流程及产污环节图工艺流程明:高温软胶(高温蒸煮槽):电子元器件在塑封时会溢出多余的环氧树脂毛刺、飞边,故需要使用化学去毛刺溶液,在 60-100℃温度下浸泡,使毛刺或飞边溶胀、溶解、 软化,以便接下来使用高压水喷射彻底去除。化学去毛刺溶液的主要成分是氢氧化钾、杂环酮类衍生物、聚乙二醇、醚类衍生物,产品浸泡后需要用水清洗,清洗时会有废水 W2-1 产生(碱性废水)。高压水去胶:通过增压系统加压自来水,使自来水压力达到 200-500kgf/cm2,用来去除已软化或松动的毛刺或飞边,产生废水 W2-2 定期处理循环利用。去氧化:去除产品表面的氧化物,使镀层与基材有良好的结合力。使用的化学品是过硫酸钠,浓度 50g/L 左右,常温使用,去氧化后需要用水清洗,清洗时会有废水 W2-3 产生(酸性废水)。预浸:主要作用是镀锡前对产品进行活化,并防止污染镀锡液,使用浓度 10%的甲基磺酸,预浸后不需要清洗,没有废水产生。镀锡:通过电化学沉积的方法,在基材上覆盖一层功能性纯锡镀层,使产品具有良好的可焊性。镀锡液主要由 150g/l 的甲基磺酸、60g/L 二价锡和 50mol/L 的表面活性剂组成,温度 30-50℃,电流密度 10-30ASD。镀锡后需要用水清洗,清洗会产生废水 W2-3(酸性废水)。中和:中和镀锡残留的酸性物质,防止镀层变色、腐蚀。中和液使用碳酸钠配置,操作温度常温,中和后需要清洗,清洗会有废水 W2-1 产生(碱性废水)。超声波清洗:采用纯水机制备的纯水,进行最后的超声波清洗,清洗温度为 50-70℃。干燥:工序最后对芯片进行干燥处理,干燥主要分为风干和烘干。退镀:镀锡线采用不锈钢钢带和夹子来夹持和传送产品进行镀锡,钢带和夹子上也会镀上一小部分的锡,需要对这部分锡进行剥除和回收。退镀液的主要成分为甲基磺酸(55g/L),使用小于 1.5V 的电压进行电解,使钢带和夹子上的锡剥除并重新沉积在回收钢板上。退镀后用超声波溢流水清洗,不新增清洗废水。项目退镀工艺流程项目需定期对沉锡工序使用的钢带和假片进行退锡。退锡周期约 1 次/月。 ①钢带退锡:采用电化学方法(利用甲基磺酸)在高速退锡线中使钢带上的锡转移到钢板上,与锡化生产线同步进行:钢板退锡是利用电解方法将钢板上的锡电解形成锡渣 S,退锡后利用纯水清洗:此过程将产生一定的酸性气体 G3-2 酸性气体,退锡清洗废水 W2。②夹片退锡:使利用化学方法使用电解液将夹片上的锡溶解到退锡液中,夹片退锡后利用纯水清洗。此过程将产生一定的酸性气体 G3-2 酸性气体,退锡清洗废水 W2。退锡工序产生的锡渣回用于镀锡工序。③其他产污环节本项目其他产污环节主要包括:反渗透法制纯水产生的浓水 W3,废气喷淋塔产生的废水 W4,一般性固态原辅料拆包装过程产生的废包装材料 S11,化学品使用过程产生的沾有化学品的容器 S7,污水处理站产生的污泥 S8,设备及地面定期清洗废水 W5,以及员工日常生活产生的生活污水 W6 和生活垃圾 S9,纯水制备过程会产生废反渗透膜 S10,生产过程中产生的不合格产品 S11。
  • 光伏设备厂商跨界半导体,这四家公司已取得一定进展
    晶盛机电——隐形半导体大佬在国内所有光伏设备公司中,晶盛机电(300316.SZ)无疑是介入集成电路行业最深的公司。公司甚至在2021年底引入了中芯国际(688981.SH)执行董事、长电科技董事长周子学加入董事会。晶盛机电业务主要集中在半导体设备和碳化硅材料,随着近期定增落地,公司还将进入硅片制造环节。当前,光伏和集成电路大多是以单晶硅为基础制造的,这是两者相同点。而不同点则在于硅纯度的不同。因此两者所需设备相近,差别在于设备精度不同。晶盛机电是全球光伏单晶炉的龙头企业,全市场份额为50%到60%。以长晶设备为核心,公司半导体设备延伸覆盖至切片、抛光、外延等环节,包括单晶炉、滚圆机、切断机、线切割机、倒角机(在研)、研磨机、减薄机、边缘抛光机、抛光机和外延炉。奥特维——国产键合机“独苗”奥特维(688516.SH)主营业务为光伏组件串焊机,在全球市占率超过70%。公司近年来开始向半导体封测设备领域拓展。在通富微电(002156.SZ)2021年底披露的《非公开发行股票申请文件的反馈意见的回复》中,通富微电列举了封测领域各环节所需的设备,以及相对应设备的供应商,在键合机上,奥特维成为唯一入选的“国内可提供同类设备的供应商”。奥特维也因此收获了通富微电的批量订单。引线键合(WireBonding) 是封装中的关键环节,是使用细金属线,利用热、压力、超声波能量使金属引线与基板焊盘紧密焊合,实现芯片与基板间的电气互连和芯片间的信号互通。奥特维作为组件串焊机龙头,在自动化、焊接等底层技术积累了较为深厚的基础,在向键合机拓展时具备一定的技术延展性。根据海关数据,2021年国内引线键合机进口总金额为15.86亿美金。考虑国产设备的价格优势,引线键合机国产替代空间约75亿元。根据MIR DATABANK的统计,在中国大陆封测设备市场中,键合机是仅次于测试机的市场规模第二大的设备,以下依次是贴片机、探针台、分选机和划片机。迈为股份、捷佳伟创——小荷才露尖尖角迈为股份(300751.SZ)是全球电池片生产设备的龙头企业,在丝网印刷设备环节市占率超过70%。在迈为股份的官网上,目前有半导体晶圆激光改质切割、半导体激光开槽设备和半导体晶圆研磨三款设备,适用于封装中的划片和减薄两个环节。划片机作为半导体芯片后道工序的加工设备,用于晶圆的划片、分割或开槽等微细加工,其切割的质量与效率直接影响到芯片的质量和生产成本。划片机可分为砂轮划片机与激光划片机两种,分别对应刀片切割工艺与激光切割工艺。研磨机用于晶圆减薄,晶圆制造有几百道工艺流程,需要采用一定厚度的晶片在工艺过程中传递、流片,在晶圆封装前,需要对晶片背面多余的基体材料去除一定的厚度。2021年全球划片机市场规模约为20亿美元,考虑到我国封测产能占比约为全球1/4,合理推测2021年我国半导体划片机市场约为5亿美元,约合32-36亿元,国内尚无绝对龙头,但其较小市场规模对于迈为股份这样体量的公司,更多是试水作用。目前,迈为股份与半导体芯片封装制造企业长电科技、三安光电就半导体晶圆激光开槽设备先后签订了供货协议,并与其他五家企业签订了试用订单。5月20日,迈为股份公告拟与珠海高新区管委会签署投资合作协议,拟投资建设“迈为半导体装备项目”,该项目计划投资总额不低于21亿元。至于具体投资项目,还有待公司进一步披露。捷佳伟创(300724.SZ)是全球电池片清洗制绒设备龙头。公司在2021年年报中提到,在半导体设备领域,全资子公司创微微电子自主开发了6吋、8吋、12吋湿法刻蚀清洗设备,包括有篮和无篮的槽式设备及单片设备,涵盖多种前道湿法工艺。捷佳伟创公众号信息显示,创微微电子于2021年7月21日成功交付3套集成电路全自动槽式湿法清洗设备,同时正在设计制造中的设备还包含了用于MicroLED、第三代化合物半导体及集成电路IDM厂的槽式清洗设备及相关附属设备,涵盖了集成电路200mm以下近70%湿法工艺步骤。2022年中国本土半导体清洗设备市场空间约为80亿元,盛美上海(688082.SH)在该领域是国产替代的龙头,创微微电子在技术上和盛美上海还存在一定差距。根据捷佳伟创近期发布的定增方案,公司拟募集25亿元,其中6.46亿元用于先进半导体装备(半导体清洗设备及炉管类设备)研发项目。该项目主要内容为Cassette-Less刻蚀设备和单晶圆清洗设备技术的改进与研发,立式炉管长压化学气相沉积设备、立式炉管低压化学气相沉积设备、立式炉管低压原子气相沉积设备以及立式炉管HK ALO/HFO2工艺设备技术的改进与研发。
  • 闲聊半导体行业和芯片人的”黑话“(中)
    上篇聊的偏粗线条,这篇会聊一些更细节的半导体“黑话”,还有就是这些半导体独立王国的“语言”和我们“正常人”说的话到底有什么关联,毕竟半导体行业不是凭空出世的,芯片人更不是“空降兵”,这个细分领域的技术和应用是有其根基和土壤的; “正常”电镜人里做冷场发射电镜CFESEM的,特别是做氦离子显微镜HIM的,都熟悉“Anneal”这个术语,指的是通过瞬间加热灯尖驱逐吸附的气体分子,增强钨单晶的活性以便于灯尖切削,俗称“重生”;半导体对“Anneal”的”黑话“称呼差别比较大叫回火,又称退火,也是对wafer进行热处理的一种手段;更广义地讲,集成电路IC工艺中所有的在氮气等不活泼气氛中进行的热处理的过程,都可以称为退火;”退火“与“重生”异曲同工,目的一是激活杂质,使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载流子,起到掺入杂质Doping的作用;二是消除损伤,离子植入Ion Implatation后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁区;由于进入底材Substrate中的离子行进中将硅原子撞离原来的晶格位置,而导致的晶体的特性改变就叫损毁;而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、时间差异来控制全部或局部的活化植入离子的功能。氧化制程中也有回火工序,主要是为了降低SiO2的晶格结构。退火方式有传统的炉Furnace退火,还有更先进的快速退火:包括脉冲激光法、连续波激光、非相干宽带频光源;如卤光灯、电弧灯、石墨加热器、红外设备等;“Furnace”在透射电镜TEM样品加热杆Heating holder上很常见,就是“坩埚”。 这里提到的离子植入Ion Implantation对熟悉FIB的“正常人“并不陌生,传统聚焦离子束利用Ga+离子束进行切割Milling、截面Sectioning、或是在气体注入系统GIS的配合下做刻蚀Etching,离子束在不同的气体配合下,可以做到选择性刻蚀Selective Etching,或增强型刻蚀Enhanced Etching;在FIB中,掺杂物Dopant对样品的掺杂Doping,我们是不希望看到的,注入到样品里的Ga+会被视为对样品的污染Contamination;而晶圆制造中的离子植入,是在原本本征的半导体里主动的植入或通过扩散的方法将其它的原子或离子掺杂进去,达到改变其电性能的方法,这里,我们是希望看到样品wafer性能发生改变的; 甚至,当一般植入的离子分布达不到要求时,还会加码,通过进炉管Furnace加高温的方式将离子进行扩散Diffusion,以达到我们对离子分布的要求,同时对离子植入造成的缺陷进行修复;这里涉及到的设备叫扩散炉Diffusion furnace;此工序就是在扩散炉管内通以饱和的杂质蒸汽,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入扩散,快速完成固态扩散需要约数亿年才能完成的任务;Diffusion虽然能较精确地选择杂质Dopant的数量,但受限于离子能量,无法将杂质驱入芯片更深(um级别)的区域了;因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除;此方法就称为驱入Drive-In;“Drive-in“在这里就不是那种能直接开车进去看电影的露天电影院了;”Drive-In”工艺中,不再加入半导体杂质总量,只将表面的杂质往半导体内更深入的推进;在驱入时,常通入一些氧气﹒因为硅氧化时,会产生一些缺陷,如空洞Vacancy;这些缺陷会有助于杂质原子的扩散速度;电镜中用到的超高真空泵-离子泵Ion Getter Pump,有和上面说的半导体的“Drive-in”手段类似,通过将杂质气体分子驱入离子泵的钛合金板,逐步达到提升真空的功效;顺便一句,半导体制程中用到的”特种气体“,”黑话“叫”特气“。 还记得”正常“电镜上熟悉的用来做表层污染物去除的Plasma cleaner等离子清洗吗?电子枪和电子光学镜筒装配前会用来轰击清洁样品表面,避免超高真空下的发气outgassing,俗称”轰击台“;晶圆制程中的这类设备就叫Arc Chamber弧光反应室,和“轰击台”原理一样,事实上设备就是利用一个直流式的电浆发生器,操作电流产生的弧光电浆来清洁抛光晶圆表面;这里的电浆就是又一句半导体“黑话”,正常人一般叫它Plasma,或等离子体;电浆Plasma是人类近代物化史上重大的发现之一,指的是一个遭受部分离子化的气体,气体里面的组成有各种带电荷的电子,离子,及不带电的分子和原子团等;电浆发生器的两金属极板上加上直流电压而产生的电浆我们就叫它直流电浆DC Plasma了; 提到电浆,就顺便说下晶圆的镀膜Deposition环节;相比较使用化学蒸气沉积CVD Chemical Vapor Deposition方法的镀膜,使用物理蒸气沉积法PVD的镀膜工艺在高制程中更常被用到;PVD制程中,脱离电浆的带正电荷离子,在暗区的电场的加速下,获得高能量,当离子与阴电极产生轰击之后,基于能量传递的原理,离子轰击除了会产生二次电子以外,还会把电极表面的原子给"打击"出来,称为sputtering溅射;电极板加直流电压称为DC Sputtering直流溅射;阴极导电材料,因为像“靶子“被电浆打击,被称为靶材Target;说到这里,我嘘了一口气;终于,半导体人也不是必须只讲自己人的”黑话“,他们跟”正常人“一样,还是能讲些”人话“的;需要溅射镀膜比较细腻的可以用磁控DC溅镀机:为了使离子在往金属靶表面移动时获得足够的能量,除了提高极板间的电压外,还必须使离子在阴极暗区内所遭受的碰撞次数降低,就必须降低溅渡的压力,越低越好,以增长离子的平均自由行程;这样一来,单位体积内的气体分子数降低,使得电浆里的离子浓度也降低,导致溅渡薄膜的沉积速率变慢,整体镀膜更加细腻;“正常”电镜实验室中,为了增加样品导电性,我们会去给样品镀一层金膜,这里的“镀金仪”就是缩小版的Fab里的PVD设备了;除了“镀金”,我们还经常会去“镀碳”;碳膜比起金膜来,可以增强样品表层导电性,但不会影响到“打能谱”,标定样品的化学元素信息;所以生物样品常用镀金,材料样品更愿意镀碳,就是这个道理; 我们利用Sputtering溅射技术镀金,镀碳的技术则为Evaporation蒸镀;Fab里的采用蒸镀技术的PVD设备,就是将蒸镀源放在坩埚Furnace里加热,当温度升高到接近蒸镀源的熔点附近时,原本处于固态的蒸镀源的蒸发能力将会特别强,利用这些被蒸发出来的原子,就可在附着在离其不远处的芯片Wafer表面上,形成薄膜式的沉积层,这种方法就是蒸镀Evaporation了;随着用以隔离之用的场氧化层FOX,CMOS电晶体,金属层及介电层等构成IC的各个结构在Chip芯片上建立之后,芯片的表面也将随之变得上下凸凹不平,致使后续制程变得更加困难;所以,传统半导体制程就开始执行芯片表面平坦化的技术了;以介电层SiO2的平坦化为例,有高温热流法、各种回蚀技术及旋涂式玻璃法;当 超大规模集成电路 VeryLarge Scale Integration- VLSI的制程推进到0.35um以下后,上面这些技术就不能满足制程需求了,故而也就产生了Chemically Mechanical Polishing化学机械研磨法CMP制程;所谓CMP就是利用在表面布满研磨颗粒的研磨垫Polishing pad,对凸凹不平的晶体表面,藉由化学助剂Reagent的辅助,以化学反应和机械式研磨等双重的加工动作,来进行其表面平坦化的处理;看到这里,您不难看出,这个半导体的CMP不就是我们“正常”实验室人经常操作的电化学抛光Electro-ChemicalPolishing制样流程吗?怎么什么进了半导体应用的门槛就非得改名,开说“黑话“呢?由于半导体芯片行业薪酬普遍高出“正常“行业一头,导致在这个行业里的从业人沾沾自喜;笔者接触过一位有幸掌握了CMP操作技术的年轻人,”下界“来做电镜售后,满脑子都是半导体厂里的条条框框,动辄fingerpointing这个那个流程不够详细规范,无法操作,责任不明等等,俨然就是一位被半导体惯坏Spoiled的巨婴,”下凡“之后连正常思考都不会了;这里例子让我知道了即使在光鲜的半导体行业里,也会培养出只知规程,而实际却”四体不勤,五谷不分“的庸才。通篇看到这里,要是您要还没说“服了You”的话,那下面我们再接着多看多学一堆半导体的”黑话“吧;一片大硅片、OR晶圆,即Wafer上有许多相同的方形小单位,这些小单位叫Die,大家在这里肯定都理解这不是那个常用动词了吧?不过学材料的同学,你们知道它“黑话“叫“晶粒“吗?这回不淡定了吧;同一片上的每个Die晶粒都是相同的构造,具有相同的功能,每个晶粒经切割封装后,就制成了一颗颗我们日常生活中常用的IC芯片了,所以每一个大晶圆片所能造出的小IC数量是很可观的;同样地,如果因制造的疏忽而产生的缺陷,往住波及到同一批次Lot里成百成千个产品;说到这里,各位看官也明白为什么晶圆厂都喜欢300mm,就是12英寸的大硅片了吧;没错,一次出货出的多,省耗材省时间,人人喜大普奔;这里再来个插科打诨,Die的复数这里“黑话“叫Dice;没错,就是我们耍的”骰子“一个词;让我们期盼这来之不易的晶圆片不会轻易地godie,好不容易划片成功的裸Die不会变态地被当骰子耍吧;Fab里最贵的三类设备,也就是晶圆制造前道工艺中的三大设备了;除了上面提到的各类“D”类Deposition沉积镀膜设备,其余两大设备就是听得耳朵都长茧子的的光刻机Photolithography“L“类设备,和光刻后的刻蚀机Etching”E“类设备了;目前海外Fab使用最广泛的光刻机就是DUV深紫外光刻Deep-UV lithography,和ASML动辄卖上亿美元一台的EUV极紫外光刻机Extreme-UV lithography了;不知不觉中,ASML和EUV似乎成了光刻机的代名词;事实上,能够在硅基上“刻”出图案的技术有多种:X射线光刻 X-ray lithography、电子束光刻 Electron beamlithography EBL、氦离子束光刻 Helium ion beam lithography、等离子体飞秒激光光刻Femtosecond Plasma Lithography FPL、纳米压痕刻Nano Imprint Lithography NIL;这么多种类的光刻技术,光刻机的供应商自然不会只有ASML一家,ASML倒确实是唯一一家既可以做EUV光刻,也能做EBL光刻的光刻机“大户”;ASML是在收购了用13260只电子束EBL跟它PK光刻老大败北的Mapper后,同时获得这项技术的;上面有提到可以说是半导体芯片行业使用频率可以排名前三的“黑话”,叫Fabless,业务里不做Fab的就叫Fabless;我们现在聊到了光刻,曝光时需要拿一片事先印好图形玻璃模板叫Mask或Reticle,放在光源与物镜之间的这一类叫做光罩Mask光刻,DUV、EUV光刻就是这类了;同理,曝光过程中不用模板辅助显影,而是光源直接接触硅片的技术就叫无光罩Maskless光刻了,带电粒子束光刻,也就是电子束、离子束一类光刻就属于这类了;“黑话”的特性是除了“道上”的同行,别人都听不懂的;这里的Maskless就又是一个“活生生”半导体的“黑话“的例子;既然提到“曝光“exposure,我们就顺便聊下半导体”盗用“摄影师的这条行话吧;早期照相机底片的感光原理在集成电路的制造过程中也适用;借助”曝光“,可以将光罩,又叫光掩模,也就是Mask上的事先定义的图形Pattern,转移到晶圆片上,借助大数值孔径Numerical Aperture NA的物镜的帮助,形成了更为精细的光阻图形;所以如果”光刻“是晶圆制造中最关键的一步的话,”曝光“便是”光刻“中那个最重要的步骤;既然重要,这里我们就多聊两句”黑话“:以使用最广的5XStepper为例,其方式就是把对紫外线UV敏感的光阻膜PR当作照相机的底片,光罩上是芯片架构师用EDA设计的各种图形,以特殊波长的光线,比如G-Line 436nm照射穿过光罩,经过物镜,又叫缩小镜或Reduction Lens后,光罩上的图形则呈5倍缩小,精确地定义在”底片“,也就是芯片上的光阻膜,又叫光刻胶或Photoresist,再经过显影Development后,即可将照到光线的正光阻膜显掉,从而就得到了我们心心念念地想要的各种精细图形,准备好为下一步的刻蚀或离子植入制程使用;因为光阻膜对于某特定波长的光线特别敏感,所以Fab厂都有黄光区,将一切照明用光源统一过滤成昏黄色,以避免泛白光源中含有对光阻有感光能力的波长成份存在,否则会发生光线污染,扰乱精细的光阻成形。就像胶片时代的照相底片一样,光刻胶 photoresist,又称光阻剂,也有正负之分;半导体的负片叫负性光刻胶negative photoresist,正片叫正性光刻胶 positive photoresist,较高制程的Fab多会用到正胶,显影时正胶会被显没,留下底下需要被刻蚀掉的硅片区域;“光刻胶“叫起来比”光阻剂“更加顺口,也算是一句标准的下凡“黑话”吧;这个关于光刻胶的“黑话”群还包括:无机光刻胶 inorganic resist、多层光刻胶 multilevel resist、电子束光刻胶 electron beam resist、X射线光刻胶 X-ray resist等分类;还有甩胶 spinning、涂胶 coating、烘胶 baking、去胶stripping、氧化去胶 removing by oxidation、等离子体去胶 removing of by plasma,等等。曝光工艺里还时不时地会听到还分接触式曝光法contact exposure、接近式曝光法 proximity exposure、还有投影式曝光法 projection exposure的说法,这是不是让刚刚觉得自己懂了点晶圆制造的半导体小白,只瞅了一眼半导体的世界,一下子又跌回了“深渊“呢?半导体“黑话“这次就先聊止于此,下篇是Save the best to the last,最精彩的那一集大结局;敬请期待。
  • 和研科技拟3.15亿投建半导体精密设备生产基地
    12月30日,国内半导体专用设备研发制造领军企业——沈阳和研科技股份有限公司与沈北新区成功签约,企业计划投资3.15亿,在沈北兴建半导体产业项目。此次签约,标志着沈北新区在半导体产业发展上迈出突破一步!新项目,新期待12月30日,沈阳和研科技股份有限公司与沈北新区(辉山经开区)正式签约。企业计划投资3.15亿,拟建设占地95亩的半导体精密设备生产基地项目,项目达产后,预计第一年实现产值5亿元,三年实现产值10亿元。沈北新区区委书记、辉山经开区党工委书记吴军,沈阳和研科技有限公司董事长袁慧珠等政企双方相关负责人出席了签约仪式。新产业,新亮点沈阳和研科技股份有限公司成立于2011年, 目前正在筹备上市,是一家专业从事半导体专用设备及配件耗材的研发、生产、销售、咨询、服务于一体的多元化公司,也是国内半导体行业的领军企业。公司主营业务划片机及其相关半导体封装设备等在行业排名国产设备第一;在国内半导体精密划切细分领域市场占有率第一;和研制造的划片机全国市场销量、品牌效应、技术、售后服务排名第一。公司合作伙伴包含比亚迪、中国电科、阿里巴巴、华为、腾讯、晶导微电子等一众知名企业。特别值得一提的是,和研科技作为辽宁省集成电路封测领域优势企业,曾研发出辽宁省首台12英寸高精度全自动精密划片机,实现了对国外垄断产品的国产化替代,推动了精密磨划设备的国产化进程。该公司此次与沈北签约的项目,主要建设半导体设备生产车间、研发楼、实验室、办公用房及配套设施等。项目建成后,将成为沈北新区打造半导体产业的全新引擎,未来将为沈北半导体等战略性新兴产业发展起到重要作用,更将为全市全省半导体行业贡献新的经济增长点。
  • 涉及880台仪器设备,德州仪器扩能项目详情曝光
    近日,德州仪器半导体制造(成都)有限公司凸点加工及封装测试生产扩能项目(二期)竣工验收。该二期工程建设内容包括:在集成电路制造厂(FABB)新增凸点加工产能18.7975万片/年(全为常规凸点产品),在封装测试厂(AT)新增封装测试产能 10 亿只/年(均为常规QFN产品)。二期工程建设完成后,扩能项目新增凸点加工产能33.3975万片/年(全部为常规凸点33.3975万片/年),新增封装测试产能 21.48 亿只/年(其中常规QFN 15.48 亿只/年,WCSP 6 亿只/年)。仪器信息网通过公开文件查阅到该项目的相关仪器设备配置清单和工艺流程。FABB 集成电路制造厂主要生产设备清单.封装测试厂(AT)主要生产设备清单生产工艺:1、凸点加工晶圆凸点是在封装之前完成的制造工艺,属于先进的封装技术。该工艺通过在晶圆级器件上制造凸点状或球状结合物以实现接合,从而取代传统的打线接合技术。凸点加工制程即从晶圆加工完成基体电路后,利用涂胶、黄光、电镀及蚀刻制程等制作技术通过在芯片表面制作铜锡凸点,提供了芯片之间、芯片和基板之间的“点连接”,由于避免了传统 Wire Bonding 向四周辐射的金属“线连接”,减小了芯片面积,此外凸块阵列在芯片表面,引脚密度可以做得很高,便于满足芯片性能提升的需求,并具有较佳抗电迁移和导热能力以及高密度、低阻抗,低寄生电容、低电感,低能耗,低信噪比、低成本等优点。 扩能项目凸点包括普通凸点和 HotRod 凸点两种,其主要区别在于凸点制作所采用的焊锡淀积技术不同,普通凸点采用植锡球工艺,工艺流程如下图所示,Hot Rod 凸点采用电镀锡银工艺,工艺流程如下图所示。扩能项目凸点包括 RDL(Redistribution Layer)、BOP-on-COA(Bump on Pad – Copper on Anything)、BOP(Bump on Pad)、BOAC (Bond Over Active Circuit)、 BOAC PI (Bond Over Active Circuit with Polyimide)、Pb-free HotRod,上述各类凸点结构如下图所示,主要区别为层次结构和凸点类型不同。扩能项目各类凸点结构示意普通凸点加工主要工艺流程及产污环节注:普通凸点产品中的 BOAC 不含灰化、回流焊与助焊剂去除工艺Hot Rod 凸点加工主要工艺流程及产污环节凸点加工的主要工艺流程简述如下:(1)晶圆检测分类(wafer sorting):对来料晶圆进行检测,主要是检测晶圆有无宏观缺陷并分类。(2)晶圆清洗(incoming clean):由于半导体生产要求非常严格。扩能项目清洗工艺分为两种工艺,第一种仅使用高纯水,另一种使用 IPA 清洗,清洗后再用纯水进行清洗。IPA 会进入废溶剂作为危废收集,清洗废水进入中和废水系统进行处理。(3)烘干(Dehydration bake):将清洗后的晶圆烘干。该工序产生的烘干废气通过一般废气排气系统排放。 (4)光刻(Photo)扩能项目采用光刻机来实现电镀掩膜和PI(聚酰亚胺)层制作,包括涂胶、曝光,EBR和显影。涂胶是在晶圆表面通过晶圆的高速旋转均匀涂上光刻胶(扩能项目为光阻液和聚酰亚胺(PI))的过程;曝光是使用曝光设备,并透过光掩膜版对涂胶的晶圆进行光照,使部分光刻胶得到光照,另外部分光刻胶得不到光照,从而改变光刻胶性质;显影之前,需要使用EBR对边缘光阻进行去除。显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上形成了沟槽。通过曝光显影后再进行烘干,晶圆表面可形成绝缘掩膜层。扩能项目该制程使用了各类光阻液、聚酰亚胺、EBR、显影液及纯水,完成制程的废液统一收集,作为危废外运处置。显影液中由于含有四甲基氢氧化铵,将产生少量的碱性废气,由于其浓度很低,扩能项目将其通入酸性废气处理系统进行处理;显影液及显影液清洗水排入中和废水处理系统。光刻工艺示意图(5)溅射(SPUTTER)溅射属于物理气相沉积(PVD)的一种常见方法,即金属沉积,就是在晶圆上沉积金属。UBM(凸点底层金属)是连接焊接凸点与芯片最终金属层的界面。UBM 应在芯片焊盘与焊锡之间提供一个低的连接电阻。为了形成良好的 UBM,一般采用溅射的方法按顺序淀积上需要的金属层。扩能项目采用 Ti:W 合金-Cu 的顺序进行溅射。溅射示意图(6)电镀(Plate)凸点电镀根据需求,可单纯镀铜,也可镀铜、镍、钯或镀铜、锡银,镀层厚度也有差异,可为铜膜或铜柱。扩能项目普通凸点电镀工艺包括镀铜膜、镀镍和镀钯。扩能项目 HotRod 凸点电镀工艺包括电镀底层铜(plateCOA,Copper on Anything)、电镀铜柱(plate Cu POST)、电镀锡银。基本的电镀槽包括阳极、阴极、电源和电镀液。晶圆作为阴极,UBM的一部分作为电镀衬底。在电镀的过程中,铜、锡银溶解在电镀液中并分离成阳离子。加上电压后,带正电的 Cu2+、Sn2+、Ag+迁移到阴极(晶圆),并在其表面发生电化学反应而淀积出来。电镀工艺原理示意图如下:电镀工艺示意图扩能项目采用的铜、镍阳极为颗粒状,会全部消耗,不产生废阳极;扩能项目使用的镀钯、锡银阳极是镀铂钛篮,呈网状支架作为电镀阳极,不消耗也不更换,镀银采用烷基磺酸盐无氰镀银工艺。 阳极金属如下图所示:电镀阳极实物图b.电镀操作过程进机台→将每片晶圆上到杯状夹具上→用超纯水预湿→镀铜→清洗→镀锡银(或镀镍→清洗→镀钯)→清洗→甩干→出机台。c.电镀清洗扩能项目电镀清洗采用单槽快速喷洗,清洗水直接排入废水处理系统,不重复利用,清洗废水排入 FABB 一楼电镀废水处理系统进行处理,保证处理设施出口一类重金属排放达标。清洗过程中产生有机废气排入有机废气处理系统统一处理。d.电镀槽液更换项目对电镀槽中电镀液离子浓度定期检测,适时添加化学药剂,保证电镀液可用。使用一段时间后,因电镀液中悬浮物浓度升高,需对电镀液进行更换。扩能项目依托 FABB 一层现有的2个2m³的电镀废液收集槽将电镀废液全部收集暂存,委托有资质的危废处理公司外运处置。电镀废液约半年排放一次,年排放量约为 3.5m³,因此收集槽的容积可满足废液收集需求。(7)去光阻(Resist stripping)电镀完成后,利用光阻去除剂去除电镀掩膜光阻,依次使用 NMP 与 IPA 进行湿式清洗,最后用纯水进行清洗,清洗后进行干燥。干燥通过自燃烘干或者 IPA吹干。(8)蚀刻(ETCH) 将凸点间的 UBM 刻蚀掉。扩能项目采用湿法腐蚀。湿法腐蚀是通过化学反应的方法对基材腐蚀的过程,对不同的去除物质使用不同的材料。扩能项目采用过氧化氢作为 Ti-W 合金的腐蚀材料,普通凸点采用硫酸腐蚀铜,含锡银凸点采用磷酸腐蚀铜,产生的含磷的酸性废水排入 CUB5c 氢氟废水处理系统进行处理,不含磷的酸性废水排入中和系统进行处理。蚀刻完成后,使用气体吹扫晶圆表面进行去杂质。(9)灰化(Ash)剥离光掩膜的过程可以使用干燥的、环保的等离子工艺(‘灰化’),即用氧 等离子体轰击光掩膜并与之反应生产二氧化碳、水等物质使其得以剥离。该过程 产生一般热排气,排入一般排气。(10)凸点制作晶圆凸点工艺最主要的 3 种焊锡淀积技术是电镀、焊锡膏印刷以及采用预成 型的焊锡球进行粘球。RDL、BOP、BOAC 等凸点采用粘球工艺(Ball place),粘 球的一般操作过程为,首先在晶圆表面涂抹一层助焊剂,然后将预先成型的焊锡 球沾在助焊剂上,接着进行检查,确保每个晶粒都沾有焊锡球。Hot Rod 等凸点 焊锡淀积技术采用电镀锡银工艺。回流(reflow),该过程将焊料熔化回流,使凸点符合后续封装焊接要求。最 后,再使用纯水对助焊剂进行清洗去除(Flux wash)。助焊剂清洗废水排入中 和废水系统进行处理。(11)自动检测(AVI) 对凸点加工完的晶圆进行自动检测,确认是否有缺陷。至此,晶圆上的凸点 制作完成。 (12)晶圆针测(Probe)在凸点完成后,晶圆上就形成了一个个的小格,即晶粒。针测(Probe)是对每个晶粒检测其导电性,只进行通电检测操作,没有任何化学过程。不合格晶粒信息将被电子系统记录,在接下来的封装和测试流程中将不被封装。扩能项目晶圆针测工序全部在 OS5 进行。(13)包装(Packing):利用塑料盒、塑料袋等对完成凸点的晶圆进行简单包装,然后进入AT厂房进行封装(后工序)。2、封装测试QFN 封装测试QFN 封装即倒装式四周扁平无引脚封装(QFN,Quad Flat No lead Package),扩能项目 QFN 封装包括传统 QFN 封装和 FCOL QFN 封装(Flip Chip on Lead frame QFN Package,框架上倒装芯片封装)。传统 QFN 封装和 FCOL QFN 封装的结构如图所示。传统 QFN 封装和 FCOL QFN 封装结构对比覆晶框架QFN在工艺流程上相较传统QFN主要区别在芯片与载板框架的连接方式,传统 QFN 通过金属导线键合,覆晶框架 QFN 通过芯片倒装凸点键合,相比传统工艺新增助焊剂丝网印刷、覆晶结合、助焊剂清洗、等离子清洗等工艺,以下对 QFN 封装的工艺及产污进行表述。贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保 护晶圆的电路表面。该工序可能产生废胶带。(1)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每 秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯 水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水 处理站进行絮凝沉淀+中和处理。(2)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。 (3)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗 粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(4)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(5)烘干:使用背面涂层烘烤设备将膜层烘干。(6)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(7)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000 转每秒)或激光将晶圆切割成符合规定尺寸的晶粒(die)。刀片的金刚石颗粒 大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。激光划片属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性 参数优于机械切割方式,用超纯水进行硅屑冲洗。(8)UV 照射:使用 UV 照射机进行 UV 照射使粘结剂失去黏性达到去膜的目的。(9)点银浆:将银浆点到框架上以备粘合用;(10)粘片:将芯片置入框架点银浆处;(11)银浆固化:在氮气保护环境下烘干固化,将芯片牢固的粘结在框架上;(12)引线键合:使用金线或铜线将芯片电路 Pad 与框架引脚 Lead 通过焊接的方法连接起来,实现电路导通,焊接采用超声波焊接,无焊接烟尘产生,主要产污为废引线。(13)助焊剂丝网印刷:在密闭机台内用丝网将助焊剂印刷到引线金属框架上,无排气。丝网采用 IPA 清洗,清洗有有两种情况,一种是用设备自动清洗,IPA 会喷到丝网上,然后用棉布擦拭,擦拭布吸收 IPA 及丝网上的脏物后就当作 危废处理,没有废液,设备是密闭的,不连接排气;另外一种是人工擦拭,会在 化学品通风橱内操作,也是用棉布擦拭,没有废液产生,通风橱连的一般排气。(14)覆晶结合:将晶圆 IC 反扣在引线金属框架上,让锡银铜柱对准丝网印刷的助焊剂。(15)回流焊:将覆晶结合后的芯片放在氮气保护的回焊炉内按一定的温度曲线通过该炉,使用回流焊的方式实现晶圆 IC 与引线金属框架的焊接,该过程使用的助焊剂无挥发性物质,后续使用专用清洗剂进行清洗。(16)助焊剂清洗:使用助焊剂清洗剂洗掉回流焊残留的助焊剂并用水冲洗干净。设备自带清洗废气冷凝装置,冷凝液进入废水处理系统,不凝气接入现有一般排气系统。(17)等离子清洗:使用等离子清洗剂激发氧氩等离子体实现更高级别的彻 底清洗,将残留的微量氧化层清洗干净,清洗废气接入现有一般排气。 (18)塑封固化:使用环氧树脂对 IC 进行外壳封装。(19)去毛刺:去除塑封外壳毛刺并进一步烘烤固化成型将塑封固化好的芯片置入有机盐溶液中去除塑封外壳毛刺及溢出料,产生去毛刺废水。(20)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商 的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将 产生打印粉尘和硅粉。(21)切带:切开胶带使单个晶粒分离。(22)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查 产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流 电运行测试、目视检查,以及运行速度测试等。(23)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(24)终检:使用最终检测设备进行终检。(25)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。传统 QFN 工艺流程及产污环节FCOL QFN 工艺流程及产污环节2、WCSP 封装WCSP 封装(Wafer Chip Scale Packaging,晶圆级封装),即在晶圆片未进 行切割划片前对芯片进行封装,之后再进行切片分割,完成后的封装大小和芯片尺寸相同。此外,WCSP 封装无需载板框架,可直接焊接在 PCB 印制线路板上使用。凸点和针测完成后,晶圆即进入封装测试厂 AT 厂房进行 WCSP 封装及测试,主要工艺流程如下:(1)贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过 程中保护晶圆的电路表面。该工序可能产生废胶带。(2)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每 秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯 水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水 处理站进行絮凝沉淀+中和处理。(3)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。(4)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗 粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀 +中和处理。(5)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面 被胶带保护、支撑。该工序可能产生废胶带。(6)烘干:使用背面涂层烘烤设备将膜层烘干。(7)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(8)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的 履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(9)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000 转每秒)将晶圆切割成符合规定尺寸的晶粒。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。(10)激光切片:首先进行晶圆黏片,即在晶圆背面贴上水溶性保护膜然后进行切割。激光切割属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式;激光可以切割任意形状,如六角形晶粒,突破了钻石刀只能以直线式加工的限制,使晶圆设计更为灵活方便。切割过程中使用超纯水进行硅屑冲洗。 (11)UV 照射:使用 UV 照射机进行 UV 照射去膜。(12)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查 产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流 电运行测试、目视检查,以及运行速度测试等。(13)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(14)终检:使用最终检测设备进行终检。(15)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。WCSP 工艺流程及产污环节
  • 沈阳科晶祝贺2018中国国际光电博览会圆满召开
    沈阳科晶祝贺2018中国国际光电博览会圆满召开2018年9月5-8日,2018中国国际光电博览会在深圳会展中心圆满召开,沈阳科晶派遣专业技术代表参加此次会议并在现场布置展位,沈阳科晶诚挚感谢会议期间客户们的莅临参观以及大家的支持,会议圆满成功,沈阳科晶也收获颇丰。中国国际光电博览会规模逐渐壮大,光电行业也在日益发展,技术上的突破是行业内每一家公司所不断追求的,在这样的大背景趋势下,沈阳科晶也带来了自己的突破性产品,此次会议沈阳科晶展示的设备有:STX系列金刚石线切割机 --- 切割材料涵盖晶体、金属、陶瓷、玻璃等、切割直径包含50mm、100mm、150mm、300mm、600mm、1000mm等不同设备型号,标配三维工装夹具,可实现不同角度切割要求,并可选配专用旋转、摇摆等工装夹具,大量节省切割时间,其本身柔性切割的特性能够保证切割的精度与面型,避免脆性材料的切割崩边崩口现象,此次会议也在现场为客户切割镀膜K9玻璃、蓝宝石、石英,可完全满足客户需求。SYJ系列划片切割机 --- 适用于各种晶体、陶瓷、玻璃、金属等材料的划片和切割。本机可用计算机或单片机进行控制,允许自行编制程序,进行切割。步进电机定位精度可达到0.01mm,样品工作台可进行360°旋转,并配有十字夹具(90°定位模)、真空吸盘,是实验室及生产单位理想的精密切割设备之一。 UNIPOL系列自动研磨抛光机 --- 适用于晶体、陶瓷、金属、玻璃等材料的研磨抛光制样,本系列设置了不同尺寸研磨抛光盘和多个加工工位。若配置适当的附件,可批量生产高质量的平面磨抛产品。此外可选配GPC系列精密磨抛控制仪,配有数显磨抛压力确认仪,实时观测磨抛厚度,并具有修正功能。VTC-600-2HD双靶磁控溅射仪 --- 可用于制备单层或多层铁电薄膜、导电薄膜、合金薄膜、半导体薄膜、陶瓷薄膜、介质薄膜、光学薄膜、氧化物薄膜、硬质薄膜、聚四氟乙烯薄膜等。与同类设备相比,其不仅应用广泛,且具有体积小便于操作的优点,是一款实验室制备材料薄膜的理想设备。PCE-6小型等离子清洗机、VTC-200PV真空旋转涂膜机、真空干燥箱......再次感谢会议期间客户们的关注与支持,我们会认真聆听客户的每一个声音,开发更多的新产品是我们共同的愿望。科晶人将不断努力,不断前进,争取带给大家更多的惊喜,解决更多的技术难题,我们会带着我们的产品,带着我们的热情期待与各位明年再次相聚。
  • 最新国产半导体设备盘点
    p style=" text-indent: 2em " 半导体集成电路制造过程及其复杂,需要用到的设备包括:硅片制造设备、晶圆制造设备、封装设备和辅助设备等。设备投入往往是生产线建立成本中占据最大份额的部分。根据SEMI的数据,以一座投资规模为15亿元美金的晶圆厂为例,晶圆厂70%的投资用于购买设备(约10亿元美金),设备中的70%是晶圆制造设备,封装设备和测试设备占比约为15%和10%。晶圆制造设备中,光刻机,刻蚀机,薄膜沉积设备为核心设备,分别占晶圆制造环节设备成本的30%,25%,25%。 /p p style=" text-indent: 2em " 半导体设备行业,集中度非常高,基本被美日荷垄断。全球前十大厂商基本占据了超过90%的市场份额,荷兰公司ASML更是几乎垄断了高端光刻机市场。 span style=" text-indent: 2em " 实现设备本土化是我国发展集成电路产业的关键之一,关系到我国能否拥有产业自主权。随着我国对半导体产业的重视,国产半导体设备不断推陈出新,以下为近期推出的国产半导体设备 /span /p h3 span style=" text-indent: 0em " 一、盛美半导体设备推出应用于先进存储器的18腔单晶圆清洗设备 /span /h3 p style=" text-indent: 2em " 作为先进半导体器件的晶圆清洗技术领域中领先的设备供应商,盛美半导体设备(NASDAQ:ACMR)近日发布了Ultra C VI单晶圆清洗设备,这是加入Ultra C清洗系列的最新产品。Ultra C VI旨在对动态随机存取存储器(DRAM)和3D NAND闪存晶圆进行高产能清洗,以实现缩短存储产品的生产周期。这款新产品以盛美成熟的多腔体技术为基础,进一步扩展了清洗设备产品线。Ultra C VI系统配备了18个单片清洗腔体,对比盛美现有的12腔设备Ultra C V系统,其腔体数及产能增加了50%,而其设备宽度不变只是设备长度有少量增加。 /p p style=" text-indent: 0em text-align: center " img style=" max-width:100% max-height:100% " src=" http://s.laoyaoba.com/jwImg/news/2020/06/27/15932616238169.png" / /p h3 style=" text-indent: 0em " 二、光力科技自主研发8230双轴全自动划片机 /h3 p style=" text-indent: 2em " span style=" text-indent: 2em " 8230双轴全自动划片机,是一款高效率、高精度、高性能、低使用成本的双轴(对向)全自动晶圆切割机,最大切割工件尺寸可达12英寸。该款产品在郑州基地研发制造,是由郑州研发团队携以色列ADT和英国LP & amp LPB研发团队的工程师合力研发打造的第一颗果实,接下来将会到客户工厂进行DEMO。8230双轴全自动划片机未来主要在郑州基地生产制造,预计2020年底开始向市场批量供货。 /span /p p style=" text-indent: 0em " span style=" text-indent: 2em " img style=" max-width:100% max-height:100% " src=" http://www.gltech.cn/Uploads/Ueditor/Upload/Image/20200703/1593749050742830.png" / /span /p p style=" text-indent: 0em " /p h3 三、北方华创正式推出NMC612G12英寸金属刻蚀机 /h3 p style=" text-indent: 2em " NMC612G 12 英寸金属刻蚀机是电感耦合高密度等离子体干法刻蚀机,具有多种均匀性控制手段,如电流分布控制技术、气体比例控制技术、静电卡盘温控技术等,为客户提供了多种均匀性调节选择,拓宽了工艺空间。其中,静电卡盘及传输系统不但适用于常规硅片的传输,也适用于不同领域的玻璃片、SOG 片等晶圆的传输及吸附,可多元化满足各领域多种需求。此外,针对刻蚀后残留气体导致的金属腐蚀问题,该设备采用微波去胶技术,利用 O2 产生等离子体,可以较高的速率实现不同金属刻蚀后 PR 掩膜的去除,并保证金属长期存放过程中不被腐蚀。 /p p style=" text-align: center " span style=" text-indent: 0em " img style=" max-width:100% max-height:100% " src=" https://inews.gtimg.com/newsapp_bt/0/12005671417/641" / /span br/ /p p /p h3 四、中微公司发布用于深紫外LED量产的MOCVD设备Prismo HiT3& #8482 /h3 p style=" text-indent: 2em " 该设备是适用于高质量氮化铝和高铝组分材料生长的关键设备,反应腔最高温度可达1400度,具有优异的工艺重复性、均匀性和低缺陷率。该设备同时也为高产量而设计,单炉可生长18片2英寸外延晶片,并可延伸到生长4英寸晶片。 /p p style=" text-indent: 2em " 同时,该设备具有新颖的腔体设计,能在高温环境下生长高质量氮化铝工艺,并具有业内领先的深紫外LED高产出率;同时具备较长的平均免开腔维护间隔时间,进一步延长正常运行时间并提高产能。真空自动化传输系统可以抑制颗粒物的产生,并减少缺陷。自动化升降机构可方便维护操作并有效节省维护时间。 /p p style=" text-align: center " img style=" max-width:100% max-height:100% " src=" http://p1.itc.cn/q_70/images03/20200730/0e26a8f6d5d24c2c98a0dbfa8752c15f.jpeg" / /p h3 五、扬杰科技推出的Low VF肖特基产品 /h3 p style=" text-indent: 2em " 扬杰科技推出的Low VF肖特基产品,封装类型丰富,满足不同应用需求,主要用于适配器、LED电源、家电等行业 /p p style=" text-indent: 2em " 扬杰科技推出的Low VF产品,电压在45V~200V区间,& nbsp 电流在20A~30A区间,芯片参数稳定,一致性优异,同时采用环保物料,符合RoHS标准,主要用于适配器,LED电源、家电等行业。 /p p style=" text-indent: 2em " 虽然我国半导体设备不断发展,取得了长足的进步,但高端的半导体设备仍与国际先进水平有所差距。伴随着我国半导体产业的发展,对半导体设备的需求也将不断增加,发展尖端半导体设备刻不容缓。 /p
  • 【超全解析】用于智能制造的滨松激光解决方案
    讲到滨松的激光技术,最早要从参与激光核聚变研究开始讲起。为实现激光核聚变的能源开发,滨松与大阪大学的激光工程学院合作,共同推进用于固态激光激发的高功率输出LD的研发以及相关技术的研究。滨松四大事业部之一的激光事业部 在不断成熟的过程中,滨松也希望将自身的激光技术带入产业应用中。以此为原点,积极推进了各类激光技术的研发。逐渐拥有了包括了半导体激光器、固体激光器、激光器配套附件、以及有着全球专利的隐形切割等产品。正在工作的滨松隐形切割引擎(SDE)世界首创也是唯一可进行晶圆内部切割的技术,与多个知名厂商有着紧密合作关系 随着中国制造2025的不断深入推进,激光技术已成为一种不可或缺的支撑技术,在晶圆切割、手机屏幕粘贴、玻璃切割、塑料焊接以及表面处理等众多应用中都不可替代。而针对这些应用,滨松可提供从元器件一直到整套系统的全产线产品。并以各自的独特性能,为目前的技术应用带来更好的可能。 元器件产品半导体激光器泵浦源作为光纤激光器的重要组成部分,主要由半导体激光器芯片(CWLD)和快轴准直镜(FAC)封装而成。滨松拥有两款输出功率分别为12 W和22 W的 CWLD芯片,对应的条宽分别为100 μm和190 μm。由于CWLD发射的激光在快轴方向的发散角较大,大约达到25°,非常不利于之后的光纤耦合,因此需要在芯片发射前加上FAC,进行快轴方向光束准直。为此,滨松可提供在800 nm~1050 nm波长范围为内透过率达到99%以上的FAC来解决上述问题。同时,对于FAC的尺寸规格(长度、高度、宽度)以及有效焦距,可根据需求进行定制。模块化产品为了解决大功率半导体激光器封装的问题,滨松可为客户提供巴条模块和叠阵模块供选择。巴条模块主要有以下两款产品:L8413-50-808(808 nm)及L8413-50-940(940 nm),输出功率分别为50 W和60 W。巴条模块除了可以单个使用外也可以组合使用。多个巴条模块呈线阵排列,在与冷却装置配合使用时可达到高输出功率以及高可靠性。此外,滨松还可将多个巴条一起封装成940 nm的叠阵模块。该叠阵模块内含15个巴条,输出功率高达1200 W(80 W/Bar)。当然,我们可以在叠阵前面加上FAC,对快轴方向的激光进行准直,耦合效率高达95%。 叠阵模块可用于高功率固体激光器泵浦源或是材料的表面处理。巴条模块叠阵模块半导体激光器随着传统工业制造朝着更加精密的方向发展,激光焊接俨然成为激光加工领域的市场风口。激光加热光源(LD-Heater & SPOLD)作为滨松在激光焊接领域的主要产品,其重要程度自然不言而喻。激光加热光源适用于新型的塑料焊接和OLED屏幕焊接。这些产品主要有能量分布均匀的平顶光束、改变镜头实现可变光斑面积、可实时监测表面温度,加工效果“可视化”等优势。针对不同的客户需求,滨松可提供波长为808nm、915nm以及940nm,输出功率从10W至200W的产品。目前在OLED屏焊接和无损拆解、智能腕表的防水焊接等中都发挥着重要作用。LD-Heater & SPOLD 除了激光加热光源之外,滨松也提供基于叠阵模块集成开发的直接输出半导体激光器(DDL)。该产品的中心波长为940nm,输出功率为4000 W、6000 W(可选)。主要应用为表面处理包括熔覆和淬火。为了获得更好的处理效果,DDL输出的光斑为矩形平顶光束,即照射到材料表面光斑形状为矩形,并且能量分布均匀。此外,为了满足各种不同材料的处理需求,输出的矩形光斑的长宽比例可以通过附加镜头实现1:1~1:5改变。直接输出半导体激光器(DDL)光斑长度比 超快激光加工解决方案皮秒固体激光器(Moil-ps)与Wavefront Shaper空间光调制器模块的结合,是滨松可为超快激光加工提供的,包括激光器和整形系统的全套解决方案。滨松超快加工解决方案 此套方案可实现在ITO薄膜上同时钻孔1000个(单孔直径为1.5 μm),也可实现在电子元件上微型二维码的一次成型,大大提升加工效率。ITO薄膜同时钻孔1000个,单孔直径1.5μm电子元件微型二维码一次成型Wavefront Shaper空间光调制器模块是滨松在光束整形领域的新品。同时采用了均匀激光强度分布的匀化器、非球面透镜成像的光学系统等高性能光学器件并配合核心器件——滨松空间光调制器(LCOS-SLM),实现了高强度的激光加工。(滨松LCOS-SLM可以承受200W以上的平均功率)相对于元件级别的LCOS-SLM,Wavefront Shaper更容易连接到系统,可实现简单的计算机控制系统(各种DLL适配),并具备温度控制功能(提高激光毁伤阈值)。在光束整形、像差校正、三维加工、并行加工等中有着广泛的应用。滨松Wavefront Shaper空间光调制器模块 2019年,湖北工业大学-滨松中国-金顿激光共同建立的“激光加工联合实验室”。目前主要进行的,就是基于滨松空间光调制器的精密激光加工方案(钻孔、切割、打标等)的研究,包括不同应用的相位图计算算法、光路系统的搭建与优化、不同材料和应用的实验工艺验证等等。依托联合实验室,滨松也可以更快的为国内客户提供产品应用验证、打样等服务。激光隐形切割引擎&下一代激光加工引擎隐形切割可以说颠覆了现有的切割概念。该方法将激光聚焦至晶圆内部进行预切割,再通过扩张膜的张力实现晶圆的划片。相比传统的砂轮切割,可以实现完全干式工艺,切割后晶圆无崩片、高强度,并且可缩小切割道的宽度。滨松隐形切割是世界首创,也是唯一可进行晶圆内部切割的技术,目前在全球拥有600多项专利。为了提高使用的便捷性,滨松可为客户提供系统化产品——隐形切割引擎(SDE)。目前,已有4000台以上的隐形切割设备,在世界各大半导体工厂中稳定运行着。以深厚的隐形切割工艺积累,和卓越的SLM控制技术为基础,滨松最新开发出了下一代激光加工引擎JIZAI。其灵活性极强,客户可以自由选配SLM、扫描镜、自动对焦镜、物镜等内部器件,来获得不同成本和性能要求的JIZAI模块。JIZAI概念图这个小模块可以实现任意形状的加工光束,比如多点并行加工、像差校正、平顶光束等等。紧凑轻巧,可自由移动,在多点打标、内部打标、玻璃打孔、微通道成型等众多激光加工作业中都可应用。内部打标玻璃打孔微通道成型滨松成立于1953年,已有66年的历史,其与中国结缘于1988年合资工厂的建立。为顺应中国市场发展,2011年全资子公司——滨松光子学商贸(中国)有限公司于北京成立,负责集团在中国的产品技术、服务、市场以及销售,随后在上海和深圳设立了分公司,以更好地服务于各地区的客户。针对激光加工的市场需求,滨松中国于本土配备了专门的产品技术、市场及销售人员。在提供更快速、优质、本土化的服务外,还会基于滨松集团的广阔视野,为客户带去具有价值的前沿产品技术、应用、市场信息。同时我们也不断推进着与国内高校的合作,如通过成立联合实验室(湖北工业大学-滨松激光加工联合实验室)这种方式,进一步优化产品的使用,加强与市场联系。以期为客户提供可更好满足应用需求的优质产品解决方案。
  • 7374万元预算!中科院物理所2022年4-8月仪器采购意向盘点
    中国科学院物理研究所(以下简称“中科院物理所”)近日在中国政府采购网陆续发布2022年4-8月仪器采购意向,采购预算约7374万元,采购22种仪器,包括3D打印机、X射线时间分辨成像探测器、X射线时间分辨成像探测器、白光干涉表面形貌仪、大阵面X射线成像探测器、倒装焊键合机、低温磁场输运测量系统、低温强磁场电子拉曼光谱外耦合系统、低温强磁场系统、电感耦合等离子刻蚀机、分子束外延系统、感应耦合等离子刻蚀机、晶圆键合对准机、晶圆键合机、门控型光学成像仪、三维坐标测量臂、砂轮划片机、深反应离子刻蚀机、微米X射线三维断层成像仪、小台面金刚石压砧、长工距高清显微镜、综合物性测量系统。本次中科院物理所公布的仪器拟采购时间集中在4月、6月和8月,其中4月采购仪器10台(套),6月采购仪器5台(套),8月采购仪器7台(套)。具体采购信息详见下表。中科院物理所成立于1950年8月15日,其前身是成立于1928年的国立中央研究院物理研究所和成立于1929年的北平研究院物理研究所,1950年在两所合并的基础上成立了中国科学院应用物理研究所,1958年9月30日启用现名。中科院物理所是以物理学基础研究与应用基础研究为主的多学科、综合性研究机构。研究方向以凝聚态物理为主,包括凝聚态物理、光学物理、原子分子物理、等离子体物理、软物质物理、凝聚态理论和计算物理、材料科学与工程等。超导、拓扑、纳米、表面、极端条件等多个学科走在了世界科技最前沿;磁学、光学、先进材料、清洁能源等诸多领域为国民经济发展提供了有力支撑。近年来在笼目超导体、高温超导薄膜、水系电解液、超导量子计算、稀释制冷机、深紫外激光角分辨光电子能谱等基础研究、应用基础研究和核心技术攻关方面取得系列重要进展。中科院物理所现有超导、磁学、表面物理3个国家重点实验室;光物理、电子显微镜、纳米物理与器件、极端条件物理、清洁能源前沿研究、凝聚态理论与计算6个院重点实验室;软物质物理、固态量子信息与计算2个所级实验室;它们与国际量子结构中心、量子模拟科学中心、北京散裂中子源靶站谱仪工程中心、清洁能源中心、超导技术应用中心、功能晶体研究与应用中心、量子计算研究中心、应用物理中心构成了物理所的研究体系。技术部及各实验室、各研究组的公共技术平台共同构成全所的技术支撑体系。此外,物理所还是北京物质科学与纳米技术大型仪器区域中心、中科院电镜技术联盟的牵头单位,北京量子信息科学研究院的共建单位。  中科院物理所2022年4-8月仪器采购意向序号采购单位采购项目名称采购品目采购需求概况预算金额(万元)预计采购日期1中国科学院物理研究所微米X射线三维断层成像仪(2022预算02)A02100699详见项目详情5002022年6月2中国科学院物理研究所分子束外延系统(2022预算04)A02100699详见项目详情11002022年6月3中国科学院物理研究所综合物性测量系统(2022预算10)A02100699详见项目详情2112022年6月4中国科学院物理研究所X射线时间分辨成像探测器(2022预算11)A02100699详见项目详情3802022年8月5中国科学院物理研究所X射线时间分辨成像探测器(2022预算12)A02100699详见项目详情3802022年8月6中国科学院物理研究所门控型光学成像仪(2022预算13)A02100699详见项目详情1752022年8月7中国科学院物理研究所大阵面X射线成像探测器(2022预算14)A02100699详见项目详情3002022年8月8中国科学院物理研究所长工距高清显微镜(2022预算15)A02100301详见项目详情1502022年6月9中国科学院物理研究所低温强磁场系统(2022预算16)A02100699详见项目详情1502022年8月10中国科学院物理研究所低温强磁场电子拉曼光谱外耦合系统(2022预算17)A02100699详见项目详情1102022年6月11中国科学院物理研究所3D打印机(2022预算24)A02100699详见项目详情2002022年8月12中国科学院物理研究所三维坐标测量臂(2022预算25)A02100699详见项目详情1202022年8月13中国科学院物理研究所小台面金刚石压砧(2022预算31-HR)A130599详见项目详情108.22022年4月14中国科学院物理研究所低温磁场输运测量系统(2022预算32-HR)A02100699详见项目详情1502022年4月15中国科学院物理研究所白光干涉表面形貌仪(2022预算34-HR)A020699详见项目详情1202022年4月16中国科学院物理研究所倒装焊键合机(2022预算35-HR)A020699详见项目详情8202022年4月17中国科学院物理研究所电感耦合等离子刻蚀机(2022预算36-HR)A020623详见项目详情3502022年4月18中国科学院物理研究所深反应离子刻蚀机(2022预算37-HR)A020699详见项目详情6002022年4月19中国科学院物理研究所感应耦合等离子刻蚀机(2022预算38-HR)A020699详见项目详情3502022年4月20中国科学院物理研究所晶圆键合机(2022预算39-HR)A020699详见项目详情7602022年4月21中国科学院物理研究所晶圆键合对准机(2022预算40-HR)A020699详见项目详情2402022年4月22中国科学院物理研究所砂轮划片机(2022预算41-HR)A020699详见项目详情1002022年4月
  • 半导体设备厂商和研科技拟A股IPO
    1月17日,证监会披露了中信建投证券关于沈阳和研科技股份有限公司(简称:和研科技)首次公开发行股票并上市辅导备案报告。资料显示,和研科技成立于2011年,公司以沈阳为中心,在苏州设有华东研发中心(苏州和研精密科技有限公司),和研科技是一家专业从事半导体磨划设备的研发、销售、咨询、服务于一体的多元化公司,专注于硅片、玻璃、陶瓷、石英、铌酸锂、碳化硅、树脂等硬脆材料的精密切割加工。和研科技主营6~12英寸DS系列精密划片机、JS系列全自动切割分选一体机等半导体专用精密切割设备,广泛应用于集成电路、分立器件、光电器件及敏感元件等制造领域。秉承着“不断开拓,勇于创新”的理念,和研科技持续强化研发投入,近3年研发投入占营业收入的比重稳步攀升,已掌握多项核心技术,拥有发明专利30项,软件著作权11项,实用新型专利16项,外观专利2项。2022年12月30日,和研科技半导体设备生产基地项目签约落户沈阳辉山经济技术开发区。该项目计划投资3.15亿元,拟建设占地95亩的半导体精密设备生产基地项目,项目达产后,预计第一年实现产值5亿元,三年实现产值10亿元。不久前,和研科技获国家集成电路产业投资基金二期股份有限公司(国家大基金二期)投资,本轮融资为和研科技B+轮融资,目前已完成工商变更登记。
  • 中科院微电子所采购1000多万仪器
    招标编号:OITC-G12032066   采购人名称:中国科学院微电子研究所   采购代理机构全称:东方国际招标有限责任公司   采购项目名称:中国科学院微电子研究所2012年仪器设备采购项目(第一批)   定标日期:2012年4月20日   招标公告日期:2012年3月23日   公告信息如下: 包号 设备名称 数量 中标供应商 中标价格 1 PECVD非晶硅淀积设备 1 爱立特微电子有限公司 31万美元 2 XeF2气相腐蚀设备 1 镭社有限公司 23万美元 3 非线性矢量网络分析仪 1 上海颐合贸易有限公司 39.6万美元 4 高低温半自动探针台 1 香港伊欧陆贸易有限公司 22.5万美元 5 反应离子刻蚀去胶机 1 Trymax Semiconductor Equipment BV 24.5万欧元 6 SiC激光划片机 1 德龙贸易香港有限公司 24万美元   评标委员会成员名单:朱衍勇、李振声、段玉生、郝艾芳、明安杰(第1、2包)、武锦(第3、4包)、郑英奎(第5包)、陈晓娟(第6包)   本项目联系人:窦志超   联系电话:010-68725599-8447   感谢各供应商对本项目的积极参与,未获中标的供应商请于即日起5个工作日内到我公司办理保证金退回事宜。   东方国际招标有限责任公司   2012年4月20日
  • 5万亿设备更新:高等职业学校光伏发电技术与应用专业仪器设备装备规范
    3月13日,国务院印发《推动大规模设备更新和消费品以旧换新行动方案》,明确到2027年,工业、农业、教育、医疗等领域设备投资规模较2023年增长25%以上。《方案》明确了5方面20项重点任务,其中在实施设备更新行动方面,提到要提升教育文旅医疗设备水平,明确指出将“推动符合条件的高校、职业院校(含技工院校)更新置换先进教学及科研技术设备,提升教学科研水平;严格落实学科教学装备配置标准,保质保量配置并及时更新教学仪器设备……”以下为仪器信息网整理的高等职业学校光伏发电技术与应用专业仪器设备装备规范,以飨读者。表1 基础实验仪器设备装备要求实 训 教 学 场 所教学实训 目标仪 器 设 备序 号名称规格、主要参数或主要要求单 位数量执行标准 代码备注合 格示 范电 工 电 子 实 验 室1.理解基 本电路原 理;2.会识读 电气图纸; 3.会根据 测量信号 分析电路 工作特性; 4.掌握常 用电子元 器件识别 的基本检测方法;5.掌握常 用电子仪 器仪表的 使用方法。1电 工 电 子 实 验 台1.能验证电路基本定理定律;2.具有基本电参数的测量功能;3.可完成 R、L、C 等电路元件的特性分析及 电路实验;4.具备单相、三相交流电路的实验功能;5.具有模拟电子电路、 具有数字电子电路的 实验功能;6.具有漏电保护功能。台10202万用表1.直流电压: (0~25)V;20000Ω/V (0~500)V;5000Ω/V; ±2.5%;2.交流电压:(0~500)V;5000Ω/V;±5.0%; 3.电阻: 量程,0~4kΩ~40kΩ~400kΩ~ 4MΩ~40MΩ 25Ω 中心; ±2.5%;4.音频电平: -10dB~+22dB。台10203信号发 生器1.频率范围: 0.1Hz~1MHz;2.输出波形: 正弦波、方波、三角波、脉冲 波;3.输出信号类型: 单频、调频、调幅等; 4.外测频灵敏度:100mV;5.外测频范围: 1Hz~10MHz;6.输出电压: ≥20Vp-p(1MΩ) ,≥10Vp-p(50Ω);7.数字显示; TTL/CMOS 输出;台10204双踪示 波器1.频宽: 20MHz;2.偏转因数: 5 mV/div~20 V/div; 3.上升时间: ≤17 ns;4.垂直工作方式: CH1、CH2、ALT、CHOP、 ADD ;5.扫描时间因数: 0.2μs/div~0.5s/div; 6.触发方式: 自动、常态、TV-H、TV-V;7.触发源: 内(CH1,CH2,交替)、外、电源; 8.触发灵敏度:内触发不小于 1div,外触 发不小于 0.5Vp-p。台10205交流毫 伏表1.测量范围: 0.2mV~600V;2.频率范围: 10Hz~600kHz;3.电压测试不确定度: ±1%;4.输入阻抗: 1MΩ。台1020表2 基础实训仪器设备装备要求实 训 教 学 场 所教学实训 目标仪 器 设 备序 号名称规格、主要参数或主要要求单 位数量执行标准 代码备注合 格示 范电气控制与PLC控制实训室1. 了解单 相、三相 交流电机 的基本电 气控制原 理 与 方 法 。 2. 掌 握 电气系 统 一般故 障的产生 原因与故 障排除方 法;3. 熟 悉 PLC 基 本 指令编程 方法,掌 握 用 PLC 控制简单 对象的方 法 和 技 能。1电气控 制 与 PLC 控 制实验 装置1.具有可靠的漏电保护功能;2.配有常用低压电器,可在该装置上完成 低压电器控制实验实训项目;3.采用可编程逻辑控制器进行控制实训项 目;4.输入电源:三相四线制,380V±38V, 50Hz;单相 ,220V±22V,10A,50Hz;直 流电源,24V/2A;5.I/O 点>20;6.可进行 PLC 硬件接线与软件编程功能, 能对 PLC 进行安装与维护操作;7.有可用 PLC 控制的控制对象,实现其动 作执行;8.有可供开放式连接的按钮及 I/O 量和模 拟量输入传感器。套1020电力电子实训室1.理解常 见电力电 子器件工 作原理; 2.理解常 见整流电 路工作原 理;3.理解逆 变电路工作原理。1电力电 子实训 装置1.具有可靠的漏电保护功能;2.可进行单相、三相不可控整流电路连接 与测试实验;3.可进行单相、三相可控整流电路连接与 测试实验;4.可进行单相桥式有源逆变电路实验; 5.可进行单相交流调压电路实验;6.可进行三相交流调压电路实验;7.可进行六种直流斩波电路(Buck、Cuk、 Boost、Sepic、Buck-Boost、Zeta)的电路 实验;8.可进行单相交直交变频电路实验;9.可进行正弦波(SPWM)逆变电路实验; 10.可进行全桥 DC/DC 变换电路实验。台1020表3 专业实验仪器设备装备要求实 训教 学 场 所实训教学目标仪 器 设 备序 号名称规格、主要参数或主要要求单 位数量执行标准代码备注合格示范光 伏 原 理 及 应 用 实 验 室1. 了解光照 条件和其它环 境因素对太阳 能电池发电量 的影响;2.了解光伏产 业链不同环节 的生产工艺流 程;3.了解光伏发 电的应用;3.理解控制器、蓄电池、 逆变器的工作 原理,掌握其 使用方法;4.能进行光伏 发电系统的安 装与调试;5.能进行太阳 能电池的电性 能测试。1光伏电 池特性 测试仪1.能测试不同光强度下完整的 I-V 曲线、P-V 曲线、开路电压和短路 电流;2.能测试太阳能电池负载特性及转 换效率等。台20402太阳光 测试仪1.具有检测太阳光强度的功能;2.具有检测太阳光有效辐射 的功 能;3.具有检测分析太阳光光谱 的功 能。套10203环境检 测仪能够检测风速、温度、露点、湿度、 气压、海拔高度等环境参数套124光伏产 品展示 柜(室)1.展示硅砂、工业硅、太阳能级硅、 硅块、硅棒、硅片等原材料;2.展示各型电池片;3.展示单晶硅、多晶硅和非晶硅等 光伏组件以及其它类型光伏电池;4.展示典型光伏产品,如: 太阳能手电筒、太阳能充电器等;5.光伏产业工艺流程展示图。套115光伏发 电实验 装置1.系统包括:光伏组件、控制器、 逆变器、蓄电池、光源和负载;2.系统各部件之间相对独立,可根 据实验要求连接;3.能进行光伏发 电原理 的相关实 验,包括 I-V 特性曲线实验、直流 负载实验、充放电实验、逆变和交 流负载实验。套1020光伏系统安全 应符合GB/T 20047.1-2006表3 专业实验仪器设备装备要求(续)实 训 教 学 场 所实训教学 目标仪 器 设 备序 号名称规格、主要参数或主要要求单 位数量执行标准 代码备注合 格示 范光 伏 材 料 检 测 实 验 室1.能进行硅 片的外观特性检测;2.能利用冷 热探针法测 量半导体类型;3.能利用四 探针电阻率 测量法对半 导体材料电 阻率及薄层 电阻进行检测;4.能进行单 晶硅、非晶 硅的非平衡 少数载流子寿命的测量;5.会对硅片 制绒时的绒 面,丝网印 刷时的栅线 宽度等进行 检测;1游标卡尺测量范围: 0mm~200mm;测量精度:机械游标卡尺 0.02mm;数显游标卡尺 0.01mm。把4040示范数显游标卡尺不少于20把2翘 曲 度 测 量仪翘曲度测量范围:1μm~20μm; 重复精度:0.5%;测量参数:曲率半径、晶圆弯曲高 度、翘曲度。台23P-N 型测试 仪测量范围:电阻率: 0.01Ω ²cm~200Ω ²cm功耗:≤30W。台5104四 探 针 电 阻 率 测 试 仪数字电压表量程:0 mV~199.999mV;灵敏度: 1μV;输入阻抗: 1000MΩ 可测电阻范围: 1μΩ~1MΩ 可测硅片尺寸:Φ15 mm~Φ200mm。台5105半 导 体 少 子 寿 命 测 量仪寿命测试范围: ≥2μs;光脉冲发生装置:重复频率≥25 次/s;脉宽≥60μs;光脉冲关断时间≤5μs;红外光源波长:1.06μm~1.09μm;低输出阻抗,输出功率≥1W; 配用示波器:频带宽度不低于 10MHz。台11表3 专业实验仪器设备装备要求(续)实 训 教 学 场 所实训教学目 标仪 器 设 备序 号名称规格、主要参数或主要要求单 位数量执行标准 代码备注合 格示 范光 伏 材 料 检 测 实 验 室6.会根据单 晶硅和多晶 硅太阳能电 池的电性能 参数进行分 选。6电子天平量程: ≥100g;精度: ≤0.01g;称盘尺寸: ≥150mm³200mm。台127金 相 显 微 镜物镜倍数: 5X、10X、20X、50X、 100X;目镜倍数: 10X;观察功能: 明场、高级暗场、圆偏 光;可配图像分析系统(摄像头、图像 分析软件)。台5108太 阳 能 电 池分选机光谱范围:应符合 GB/T 6495.9-2006(等级 A)要求;辐照强度调节范围:70 mW/cm2~120mW/cm2;辐照不均匀度≤3%;辐照不稳定度≤3%;测试结果一致性≥99%;电性能测试误差≤2%;有效测试面积≥125mm³125mm; 有效测试范围:0.1W~5W;测试参数:短路电流、开路电压、 最大功率、最大电流、填充因子、 转换效率、测试温度。台129椭偏仪光源:氙灯;波长范围:250 nm~830nm; 波长分辨率:1.0 nm;入射角范围:20º~90º 入射角精度:0.001º 椭偏参数精度:D ±0.02º、 Y ±0.01º 光学常数精度优于 0.5% 膜厚准确度: ±0.1nm。台12表4 专业实训仪器设备装备要求实 训 教 学 场 所实训教学 目标仪 器 设 备序号名称规格、主要参数或主要要求单 位数量执行标准代码备注合 格示 范光 伏 组 件 加 工 实 训 室1.了解光 伏组件的组成;2.了解光 伏组件的 生产工艺流程;3.掌握电 池片切割、 测试、焊 接、串接、 敷设、组件 层压、修 边、装框、 接线盒安 装等操作方法;4.掌握光 伏组件光电性能的 检测方法; 5. 掌 握 异 常情况下 的处理方 法。1激光划 片机激光波长: 1.064μm;激光重复频率: 200Hz~50kHz;激光功率: ≥20W;划片线宽:≤300μm;最大划片速度:≥100mm/s;划片精度:≤10μm工作电源: 380V(220V)/50Hz使用电源功率:≥2.5kVA。台122台11表4 专业实训仪器设备装备要求(续)实 训 教 学 场 所执行标准 代码备 注合 格示 范光 伏 组 件 加 工 实 训 室同上
  • 河南将投1.4亿建食品药品安全信息平台
    日前,河南省食品药品监督管理局发布消息:总投资近1.4亿元的&ldquo 河南省食品药品安全信息化服务平台&rdquo 将有望在2017年建成。该局相关负责人表示,将加快食品安全信息化监管建设步伐,加快食品安全信息化服务平台建设,旨在让公众明明白白掌握食品安全的相关信息。   据悉,目前《河南省食品药品安全信息化服务平台项目建议书》编制工作基本完成,近期即可报省发改委审批,该项目总投资估算13989.81万元。项目建设周期为3年,分3期建设,2017年将有望建成覆盖省、市、县3级食品药品监管部门的统一服务平台、行政执法平台、信息监测平台、应急管理平台、决策支持平台和内部管理平台等6大平台在内的食品药品安全信息化服务平台,最终实现食品从生产环节到消费环节的全过程监管,实现食品来源可追溯、去向可查证、责任可追究。   河南省食品药品监督管理局表示,根据改革部署,下一步,全省所有县级食品药品监管机构都将在乡镇一级设立食品药品监管派出机构,并根据监管工作需要,调剂充实必要的人员编制,加强监管执法和技术力量,配备现场快速检测和调查取证等必要的技术装备,满足监管执法需要,确保食品药品监管能力在监管资源整合中得到提升。   同时,河南省还将确立分级管理体制,各级地方政府对本级的食品药品安全工作负总责,落实乡镇政府食品药品安全工作责任。在农村行政村和城镇社区设立食品药品监管协管员,承担协助执法、隐患排查、信息报告、宣传教育等职责,所需人员津贴将纳入财政预算,全力推动河南省食品药品监管工作关口前移、重心下移,构建分区划片、包干负责的监管责任网和群众监督网,以此补齐基层监管短板。
  • 三家半导体设备商上榜“中国上市企业市值500强”
    近期,Wind资讯发布2020年度“中国上市企业市值500强”榜单,该榜单数据截至2020年12月31日,其中三家半导体设备商上榜。上榜的三家半导体设备企业为北方华创、大族激光和晶盛机电。排名公司名称相关产品216北方华创单晶炉、刻蚀机、ALD、PVD、CVD等422大族激光激光划片机、激光打标机、等离子体清洗机等499晶盛机电单晶炉等北方华创北方华创是我国半导体设备企业中的龙头企业,由北京七星华创电子股份有限公司和北京北方微电子基地设备工艺研究中心有限责任公司战略重组而成,是目前国内集成电路高端工艺装备的先进企业。北方华创主营半导体装备、真空装备、新能源锂电装备及精密元器件业务,为半导体、新能源、新材料等领域提供解决方案。大族激光大族激光,1996年创立于中国深圳,是世界主要的工业激光加工设备生产厂商之一。其专业从事中高功率激光切割装备、激光焊接装备、3D打印装备、激光器、数控系统与功能部件的研发、制造、销售与服务,是世界知名的全自动化激光切割与焊接成套设备供应商,国家首批智能制造试点示范企业,工信部2016年智能制造新模式应用项目建设单位,激光行业国家标准制定单位,国家科技重大专项主持单位晶盛机电浙江晶盛机电股份有限公司是一家专业从事半导体、光伏设备的研发及制造的高新技术企业,先后开发出拥有完全自主知识产权的直拉式全自动晶体生长炉、铸锭多晶炉产品。晶盛机电的研发能力与加工检测水平处于国内同行业领先地位。
  • 2012年国家知识产权战略实施推进计划出台
    4月10日,《2012年国家知识产权战略实施推进计划》新闻发布会在京召开。国家知识产权战略实施工作部际联席会议联络员、国家知识产权局保护协调司司长黄庆,国家工商管理总局商标局副局长吕志华,新闻出版总署(版权局)版权管理司副司长王志成,国家知识产权局专利管理司巡视员吴宁燕出席新闻发布会,并回答记者提问。 《2012年国家知识产权战略实施推进计划》新闻发布会在京召开   黄庆在发布会上介绍,国家知识产权战略实施工作部际联席会议28家成员单位及国务院相关部门、地方人民政府深入实施知识产权战略,认真执行并完成2011年各项计划任务,科学规划“十二五”时期知识产权事业发展,切实加强知识产权保护工作力度,全力支持企业创造运用知识产权,加快构建知识产权服务体系,全方位加强人才培养、文化宣传和对外交流,战略实施工作取得新成效。   黄庆表示,2012年,联席会议各成员单位结合国家中心工作和知识产权战略实施面临的新形势,共同研究制定了《2012年国家知识产权战略实施推进计划》,经联席会议第三次全体会议审议通过,已于4月6日正式印发实施。   2012年推进计划按照“任务导向、突出重点、兼顾全面、务求实效”的制定原则,确定了八方面重点工作,明确了90项具体措施和相应的负责部门。八方面重点工作:   一是“提升知识产权质量”。完善知识产权考核评价体系,改进专利、商标、版权、植物新品种等知识产权的审查和管理工作,引导知识产权创造主体从注重知识产权数量向注重知识产权质量转变,促进提升知识产权价值。二是“运用知识产权促进战略性新兴产业发展”。通过构建战略性新兴产业知识产权推进工作体系,出台培育和发展战略性新兴产业的知识产权配套政策,加强战略性新兴产业中的知识产权分析和布局,突出战略性新兴产业的知识产权导向。三是“促进知识产权运用”。以知识产权为纽带,完善产学研创新成果转化运用机制,出台促进知识产权转化运用政策,推动知识产权成果产品化、商品化和产业化。四是“加强知识产权保护”。继续加强打击侵犯知识产权长效机制建设,提高知识产权侵权代价,降低维权成本,推进行政执法与刑事司法衔接机制建设,组织开展专项治理行动,打击重点领域重点地区侵犯知识产权违法犯罪行为。五是“发展优势领域知识产权”。通过整理、挖掘我国地理标志、遗传资源、传统知识和民间文艺等优势领域的知识产权资源,研究建立相关知识产权保护体系,推动相关知识产权立法进程。六是“提升知识产权管理能力”。通过完善知识产权管理体系,提升科学管理效能,提高政府、行业、企业、高校、科研机构和服务机构等各类主体的知识产权管理水平。七是“培育知识产权服务业”。通过制定促进知识产权服务业发展的相关政策,完善知识产权服务业管理,推进知识产权基础信息资源建设与有序开放,引导知识产权服务机构创新服务模式,促进地方知识产权服务聚集发展,加快知识产权服务高端人才培养。八是“培育知识产权文化”。通过加强知识产权宣传普及和人才培养,营造尊重知识、崇尚创新、诚信守法的知识产权文化氛围,培育构建有利于知识产权战略实施的社会环境。 2012年国家知识产权战略实施推进计划(全文)   为全面落实《国家知识产权战略纲要》部署,推动2012年国家知识产权战略实施工作深入有效开展,按照“任务导向、突出重点、兼顾全面、务求实效”的原则,制定本计划。   一、提升知识产权质量   目标任务:完善知识产权考核评价体系,改进专利、商标、版权、植物新品种等知识产权的审查和管理工作,引导知识产权创造主体从注重知识产权数量向注重知识产权质量转变,促进提升知识产权价值。   具体措施:   1.修订国家技术发明奖评价指标体系,加强对专利质量的评估,加大对通过自主创新做出重大技术发明及取得自主知识产权成果的奖励力度。(科技部)   2.在产业化专项和技术改造工作中重点安排、扶持具有自主知识产权的项目,在产业政策中明确提出加快自主创新能力建设,发展具有自主知识产权的工艺装备、技术和产品。(工业和信息化部)   3.构建“每万人口发明专利拥有量”考核评价体系,并进行指标考核试评价。(知识产权局)   4.完善专利审查质量评价体系,形成审查业务指导体系,明确审查质量管理职责,完善专利审查质量社会反馈渠道。(知识产权局)   5.完善非正常专利申请的监控和处理,规范地方专利资助工作,适时出台进一步规范专利资助工作的若干意见。(知识产权局)   6.完善商标审查质量管理体系建设,稳步放开审查类别,逐步实现全类审查,提高审查结果一致性,提升商标审查质量。(工商总局)   7.抓住重点城市和重点领域,进一步做好作品登记工作 开展作品分类研究,推动作品使用 研究开展作品登记城市排名工作。(版权局)   8.完善植物新品种的审查和管理,制定完善植物新品种测试指南,修订发布植物新品种权审批规则,提高品种授权质量。(农业部、林业局)   9.针对国防重大专项,指导有关承担单位开展知识产权保护工作,提高专利申报质量 进一步充实国防专利审查员队伍,完善审查质量控制体系,提升国防专利审批能力。(总装备部)   二、运用知识产权促进战略性新兴产业发展   目标任务:构建战略性新兴产业知识产权推进工作体系,出台培育和发展战略性新兴产业的知识产权配套政策,加强战略性新兴产业中的知识产权分析和布局,突出战略性新兴产业的知识产权导向。   具体措施:   10.制定发布《关于加强战略性新兴产业知识产权工作的若干意见》,加快构建战略性新兴产业知识产权推进工作体系。(知识产权局、发展改革委、教育部、科技部、工业和信息化部、财政部、工商总局、版权局、中科院)   11.加强对战略性新兴产业知识产权申请的指导,支持企业在部分产业领域开展知识产权分析及风险评估,在关键技术领域形成知识产权优势。(工业和信息化部、科技部、知识产权局)   12.继续支持战略性新兴产业发展,开展对稀土稀有金属新材料、生物育种等的专项支持工作。(财政部)   13.支持中央企业围绕战略性新兴产业选取特定领域开展知识产权信息检索和专利信息分析工作,逐步建立中央企业知识产权侵权预警和风险防范机制。(国资委、知识产权局)   14.推进战略性新兴产业专利联盟建设,指导和建立30家左右产业专利联盟。(知识产权局、科技部)   15.完善专利审查绿色通道制度,提高战略性新兴产业创新成果获得知识产权的及时性。(知识产权局、科技部)   三、促进知识产权运用   目标任务:以知识产权为纽带,完善产学研创新成果转化运用机制,出台促进知识产权转化运用政策,推动知识产权成果产品化、商品化和产业化。   具体措施:   16.发布《关于进一步加强职务发明人合法权益保护 促进知识产权运用实施的若干意见》。(知识产权局、教育部、科技部、工业和信息化部、财政部、人力资源社会保障部、农业部、国资委、工商总局、版权局、林业局、总装备部)   17.研究建立科技报告制度,推动国家科技重大专项和科技计划项目知识产权的转化运用,将项目成果保护情况与转移转化成效纳入立项评审及验收指标体系。(科技部)   18.开展标准中知识产权处置政策制定、专利评估与实施,提高国际主要标准中我国知识产权的比例。(工业和信息化部、科技部、质检总局)   19.进一步完善知识产权评估准则体系,加强资产评估机构知识产权相关业务质量检查,健全中小企业知识产权评估服务机制。(财政部、知识产权局)   20.推进农业领域知识产权转化,积极探索农业科技专利质押融资业务。(农业部、林业局)   21.筛选能推动林业产业发展的专利技术,举办林业专利宣传对接活动,为林业科研院所、大学、企业提供专利技术交易平台,实施林业领域自主知识产权产业化推进工程。(林业局)   22.起草完成《职务发明条例(草案)》,推动职务发明成果的转化应用。(知识产权局、科技部)   23.推广中小企业知识产权金融服务,建立专家支持系统和专利质押融资公共服务平台,培育一定数量的国家知识产权质押融资示范单位。(知识产权局)   24.通过信息发布、专利孵化、院地合作、成果展示等多种平台,加强与企业、产业部门、地方、大学和其他科研机构以及国际相关机构在知识产权等方面的合作,加快科技成果转移转化。(中科院)   25.大力推进知识产权在国防和民用领域的双向转移机制建设。(总装备部)   四、加强知识产权保护   目标任务:加强打击侵犯知识产权长效机制建设,提高知识产权侵权代价,降低维权成本,推进行政执法与刑事司法衔接机制建设,组织开展专项治理行动,打击重点领域重点地区侵犯知识产权违法犯罪行为。   具体措施:   26.做好《商标法》修订工作。(法制办、工商总局)   27.做好《著作权法》修订工作,重点对作品的范围和分类、著作权权利内容、著作权权利归属、合理使用制度、著作权登记和确认、广播组织的权利保护、侵权救济和损害赔偿标准数额等规定作相应修改和完善。(版权局)   28.做好《专利法》修订工作,完善专利行政执法、侵权赔偿以及外观设计专利等相关规定。(知识产权局)   29.出台《关于审理侵犯信息网络传播权民事纠纷案件适用法律若干问题的解释》、《关于审理垄断民事纠纷案件适用法律若干问题的规定》。(高法院)   30.起草《关于审理侵犯专利权纠纷案件若干问题的意见》、《关于审理专利授权确权行政案件若干问题的意见》、《加强驰名商标司法保护及遏制恶意抢注、傍名牌行为的指导性意见》,以及审理商标授权确权案件程序问题的指导性意见。(高法院)   31.巩固打击侵犯知识产权和制售伪劣商品犯罪“亮剑”行动成果,集中优势警力对侵权伪劣犯罪实施“破案会战”,保持高压严打态势。(公安部)   32.改进知识产权海关保护备案制度、行邮渠道侵权货物处置办法等相关配套制度,切实维护权利人和收发货人的合法权益。(海关总署)   33.完善与知识产权权利人的配合机制,提高打击进出境侵权货物违法活动精准率,加强对地理标志商标的保护。(海关总署)   34.以驰名商标、涉外商标为重点,集中打击销售假冒他人注册商标的违法行为 以打击侵犯注册商标专用权、擅自使用他人知名商品特有名称包装装潢、冒用他人企业名称或姓名等“傍名牌”违法行为为重点,加大对侵犯知识产权不正当竞争行为的打击力度。(工商总局)   35. 在全国范围内推行商标授权经营制度和商标备案公示制度,力争在全国31个省会(直辖市、首府)城市的大型百货商场全面推广,倡导市场主办方对进场商品商标进行备案、查验、审核,逐步实现市场监管关口前移。(工商总局)   36.开展第八次“打击网络侵权盗版专项治理行动”,针对网络文学、音乐、视频、游戏、动漫、软件等侵权盗版积极开展专项治理。(版权局、公安部、工业和信息化部)   37.做好对视频网站和手机媒体的主动监管工作,进一步规范网络版权秩序。(版权局、广电总局)   38.办好世界知识产权组织《保护音像表演条约》外交会议,推动《保护音像表演条约》、《广播组织权利公约》等国际公约的缔结。(版权局、广电总局、外交部)   39.推进政府机关和企业使用正版软件工作,确保省级政府机关、地市级政府机关按时完成软件正版化检查整改工作。(版权局)   40.启动知识产权执法维权“护航”专项行动,大力查处整治群体侵权、反复侵权、假冒专利和涉及专利诈骗的行为。(知识产权局)   41.优化知识产权案件管辖布局,适当增加管辖一般知识产权案件的基层法院数量,鼓励中、基层法院根据工作需要开展跨地区划片集中管辖,合理配置审判资源。(高法院)   42.进一步推进由知识产权审判庭集中审理知识产权民事、行政和刑事案件的试点工作,建立知识产权民事、刑事和行政审判协调机制。(高法院)   43.推进打击侵犯知识产权和制售假冒伪劣商品领域行政执法与刑事司法衔接工作,完善行政执法与刑事司法“网上衔接,信息共享”机制。(商务部、高检院、公安部及各相关行政执法部门)   44.修订《国防专利条例》,发布实施《装备知识产权管理办法》,研究起草《军用集成电路布图设计登记办法》和《军用软件著作权登记办法》。(总装备部)   五、发展优势领域知识产权   目标任务:整理、挖掘我国地理标志、遗传资源、传统知识和民间文艺等优势领域的知识产权资源,研究建立相关知识产权保护体系,推动相关知识产权立法进程。   具体措施:   45.挖掘我国生物遗传资源及相关传统知识等传统优势领域的知识产权资源,研究建立生物遗传资源及相关传统知识获取与惠益分享制度,推进生物遗传资源获取与惠益分享管理条例的立法进程。(环境保护部、农业部、质检总局、林业局、知识产权局)   46.完善生物遗传资源及其相关传统知识保护名录体系,系统整理、文献化与生物遗传资源相关的传统知识,建立国家生物遗传资源及其相关传统知识数据库和图书馆。(环境保护部、农业部、质检总局、林业局、知识产权局)   47.推进建立地理标志部际协调机制,推动《地理标志部际联席会议制度》和《地理标志注册联合认定和保护办法》出台。(工商总局、农业部、商务部、质检总局)   48.积极挖掘优质农产品地理标志资源,进一步健全农产品地理标志专家、检测机构和核查员体系,探索建立农产品地理标志监管追溯模式。(农业部)   49.大力推广“公司+地理标志商标+农户”的新型农业经营模式,继续完善以地理标志为核心的“商标富农”工作机制。(工商总局)   50.有重点、分行业、分类别地推动地理标志产品保护示范区建设,进一步加强地理标志产品标准体系、检测体系和质量保证体系的建立和完善。(质检总局)   51.牵头组织地理标志双边协定谈判,参加世贸组织多哈回合地理标志和遗传资源保护等议题的谈判。(商务部)   52.组织实施10省(区、市)中药资源普查试点工作和中医药传统知识数据库构建方案与示范研究项目,建立中医药传统知识数据库,探索制定具有法律效力的医药传统知识保护名录。(卫生部、知识产权局、环境保护部、林业局)   53.推动《民间文学艺术作品著作权保护条例》立法进程。(版权局)   54.推动国家文化艺术资源信息平台建设,深入挖掘民间文艺作品,建立民间文艺保存人与后续创作人之间的利益合理分享机制。(文化部)   55.组织参加世界知识产权组织的知识产权与遗传资源、传统知识及民间文艺政府间委员会会议,以及其他相关议题谈判。(知识产权局、版权局)   六、提升知识产权管理能力   目标任务:完善知识产权管理体系,提升科学管理效能,提高政府、行业、企业、高校、科研机构和服务机构等各类主体的知识产权管理水平。   具体措施:   56.研究制定加强知识产权管理的相关政策文件,促进提高我国知识产权整体管理水平。(知识产权局、工商总局、版权局)   57.加强对地方战略实施工作的指导,制定出台地方知识产权战略实施工作要点,启动地方战略实施试评估工作,适时出台《关于开展地方知识产权战略实施评估工作的指导意见》。(知识产权局、工商总局、版权局)   58.深入开展重大经济科技活动知识产权评议地方试点,形成符合当地实际的知识产权评议指南。(知识产权局)   59.制定发布《科技创新知识产权工作“十二五”专项规划》。(科技部)   60.推动各重大专项根据《国家科技重大专项知识产权管理暂行规定》,结合本专项特点制定知识产权管理细则。(科技部)   61.研究完善国家科技计划项目知识产权管理办法。(科技部)   62.研究制定文化系统的知识产权战略规划,加强对全国文化系统知识产权工作的指导。(文化部)   63.修改《医药卫生知识产权管理工作指导原则》,补充完善有关公共卫生应急状态下,依据现有法律法规推动专利实施许可,有效保障人民健康权益等方面制度建设相关内容。(卫生部、知识产权局)   64.推动重大科技专项开展知识产权战略分析,制定符合各专项特点的知识产权工作方案,完善重大专项知识产权信息登记、统计和评估制度,加强对各专项知识产权管理工作的监督、评估和指导。(科技部、工业和信息化部、知识产权局)   65.指导农业科研机构建立和完善农业知识产权管理制度、管理体系和管理协作网络,引导农业企事业单位建立健全农业知识产权管理制度和组织机构。(农业部)   66.指导广播影视版权保护行业组织加强行业自律和内部交流协作,平等保护我国广播电视播出机构和版权所有者的合法权益。(广电总局)   67.推动中央企业全面实施知识产权战略,完善企业知识产权管理体系。(国资委、知识产权局)   68.研究修订高校知识产权管理相关文件,加强对高校职务研究成果、发明成果的管理。(教育部、知识产权局)   69.选取应用类战略先导专项、重大科研装备研制项目作为试点,开展科研项目知识产权全过程管理,制定知识产权产出目标和成果应用指标。(中科院)   七、培育知识产权服务业   目标任务:制定促进知识产权服务业发展的相关政策,完善知识产权服务业管理,推进知识产权基础信息资源建设与有序开放,引导知识产权服务机构创新服务模式,促进地方知识产权服务聚集发展,加快知识产权服务高端人才培养。   具体措施:   70.积极推进《加快发展高技术服务业指导意见》实施,在2012年拟开展的高技术服务业专项中,将知识产权服务业作为重点支持领域和方向。(发展改革委、知识产权局)   71.制定出台《关于加快培育和发展知识产权服务业的指导意见》,重点发展知识产权代理服务、法律服务、信息服务、咨询服务、商用化服务和培训服务。(知识产权局、发展改革委)   72.扩大知识产权基础信息资源共享范围,创新知识产权服务模式,提升服务机构涉外事务处理能力,加快构建服务主体多元化的知识产权服务体系。(知识产权局、发展改革委、商务部、工商总局、版权局)   73.充分发挥技术市场作用,创新技术交易服务形式,在技术市场、孵化器、大学科技园、生产力促进中心等中介服务机构中强化知识产权服务内涵,提高服务能力。(科技部)   74.研究涉及产业发展的关键技术或共性技术专利,进行专利分析和预警,定期发布专利态势。(工业和信息化部、知识产权局)   75.在产业基地开展知识产权信息跟踪和专利分析等实务支撑与服务。(工业和信息化部)   76.引导律师充分发挥专业优势,面向新兴行业、高新技术企业等知识产权密集的行业、企业,开展有针对性的知识产权法律服务活动,帮助企业提高知识产权保护水平。(司法部)   77.推动知识产权司法鉴定实行全国统一登记管理,组织开展知识产权司法鉴定培训,引导知识产权鉴定机构做精做强。(司法部、高法院)   78.支持留学人员利用自主知识产权创办高新技术企业,完善高层次人才回国优惠政策,重点引进实施知识产权战略急需紧缺的海外高端留学人才。(人力资源社会保障部)   79.充分发挥企业知识产权海外维权援助中心作用,加强对企业重大案件的应诉指导,帮助企业增强知识产权海外维权意识与能力 在境外知名展会上设立知识产权服务站,为中国参展企业提供咨询与调解服务。(商务部)   80.研究制定知识产权服务统计指标体系,实施知识产权服务引导与培育项目,培养知识产权服务高端实务人才,培育知识产权服务龙头企业,促进地方知识产权服务聚集发展。(知识产权局)   81.进一步完善专利代理扶持政策,完善专利代理人培训制度,做好代理机构业务能力提升工作。(知识产权局)   82.修订《专利代理条例》。(法制办、知识产权局)   八、培育知识产权文化   目标任务:加强知识产权宣传普及和人才培养,营造尊重知识、崇尚创新、诚信守法的知识产权文化氛围,培育构建有利于知识产权战略实施的社会环境。   具体措施:   83.深入宣传中央全面推进知识产权保护工作的重大决策部署,将知识产权宣传纳入科学发展、转变经济发展方式等重大主题宣传,充分报道我国实施国家知识产权战略的显著成果。(中央宣传部、广电总局)   84.开展知识产权领域国际交流与合作,利用高层交往、双边和多边场合与重点国家就知识产权保护问题进行沟通交流。(外交部、商务部、知识产权局、工商总局、版权局)   85.修订中小学教材,落实思想品德等相关学科课程标准中关于知识产权的内容要求 引导高校开设知识产权相关领域的辅修课程 配合开展保护知识产权宣传教育活动,增强青少年知识产权意识。(教育部)   86.大力实施文化信息资源共享工程和公共电子阅览室、数字图书馆工程,保障公众在文化活动中依法享有合理使用创新成果和信息的权利。(文化部)   87.把高素质知识产权人才培养纳入“卓越法律人才教育培养计划”,建设若干培养基地。(教育部)   88.进一步贯彻落实《广播影视知识产权战略实施意见》,大力实施广播影视精品工程,提高影视剧、动画片、纪录片的原创能力,发展广播影视新兴业态,促进广播影视知识产权市场化和商品化。(广电总局)   89.实施“高层次人才引领计划”、“百千万知识产权人才工程”等培养计划和人才工程,选择4-5家高校建立国家知识产权培训基地。(知识产权局)   90.组织开展全国知识产权宣传周活动,加强知识产权法律法规和科学知识的宣传,增强全社会的知识产权意识。(知识产权局、中央宣传部、广电总局)
  • 肩负突破“十三五”规划颠覆性技术责任——第五届微流控芯片高端论坛暨产业峰会
    p    strong 仪器信息网讯 /strong 2017年12月16日由中国生物检测监测产业技术创新战略联盟与中科院大连化学物理研究所联合主办,中科院过程工程研究所与北京百康芯生物科技有限公司联合承办,仪器信息网协办的第五届微流控芯片高端论坛暨产业峰会于北京中粮健康研究院召开。来自全国的微流控技术与应用专家学者及投资方代表共130余人参加了本次会议,共16个特邀报告和14个大会报告,旨在为技术、产业与资本搭建一个融和交流平台。 /p p style=" TEXT-ALIGN: center" img title=" 1.jpg" src=" http://img1.17img.cn/17img/images/201712/insimg/a2513b62-75a5-472d-83aa-a19488e5a8ce.jpg" / /p p style=" TEXT-ALIGN: center" strong 会议现场图 /strong strong & nbsp /strong /p p   本届会议为期2天(12月16日-17日),30个大会报告就微流控芯片技术研发,应用及市场进行系统阐述交流。会议还设置了“坐而论道”-----微流控产业沙龙环节,讨论我们中国的微流控芯片的未来发展方向,以促进我国微流控技术的快速产业化发展。 /p p style=" TEXT-ALIGN: center" img title=" 2.jpg" src=" http://img1.17img.cn/17img/images/201712/insimg/a9435616-5b53-49c0-89c7-64c36a156cfc.jpg" / /p p style=" TEXT-ALIGN: center" strong 中国科学院过程工程研究所研究员 /strong strong 杜昱光 /strong /p p   16日的大会开幕式由中国科学院过程工程研究所杜昱光研究员主持。中科院大连化学物理研究所研究员林炳承与生物检测监测产业技术创新战略联盟理事长张学记教授分别开幕式上做报告。 /p p style=" TEXT-ALIGN: center" img title=" 3.jpg" src=" http://img1.17img.cn/17img/images/201712/insimg/334d945a-9e47-4ab2-a1d6-a6aa884312e8.jpg" / /p p style=" TEXT-ALIGN: center" strong & nbsp 大连化学物理研究所教授 林炳承 /strong /p p   林炳承为我们国家的微流控芯片领域培养了大量人才,其培养的弟子中有20余人左右目前在不同的高校,科研院所,公司及医院带领团队从事着微流控芯片技术或者相关应用方面的研究。 /p p style=" TEXT-ALIGN: center" & nbsp img title=" 4.jpg" src=" http://img1.17img.cn/17img/images/201712/insimg/b1def6d6-d767-4ca6-82a6-80221744eb06.jpg" / /p p style=" TEXT-ALIGN: center" strong 北京科技大学教授 张学记 /strong /p p   生物检测监测产业技术创新战略联盟理事长张学记教授为大会致辞并以其课题组的两种技术为例为我们展示了微流控芯片在肿瘤精准基础生物学研究中的应用。 /p p   精准诊断是精准治疗的重要保障,如何实现肿瘤的早期诊断及个体化医疗是全世界科员工作者越来越关注的重要研究课题。而微流控芯片技术作为能够在纳升级别对溶液进行精准操控的研究平台,在解决应用于精准医疗时有着先天的优势。精彩并振奋人心的开幕式报告结束后,开幕式后是特邀大会报告环节,蒋兴宇、林金明、黄岩谊、杨朝勇、俞燕蕾、王琪、周蕾、胡国庆、马雅军、盖宏伟、杜昱光、尹小毛、许文明、周一林等14位专家及投资界代表在会议中分享前沿成果与产业化经验。更多报告详实内容,敬请关注仪器信息网后续的报道。 /p p style=" TEXT-ALIGN: center" img title=" 5.jpg" src=" http://img1.17img.cn/17img/images/201712/insimg/c5c4a6f2-aa7d-412f-8055-fc87ed94e4ae.jpg" / /p p style=" TEXT-ALIGN: center" strong “坐而论道”——微流控产业沙龙 /strong /p p   该环节邀请中国科学院大连化学物理研究所林炳承,清华大学林金明,中国科学院过程工程研究所教授周蕾,高通亚太区IT总监周一林,北京百康芯董事长张国豪作为特邀嘉宾就人造器官芯片技术需突破的难点、解决方案、产业化方向以及微流控芯片技术产业化过程中专利保护的问题进行了讨论。专家们表示目前器官芯片在仿生后进行药物活性检验而代替动物实验方面非常具有应用价值。而自主专利是技术产业化的重中之重,是保护自己知识产权专利筹码,同时林炳承教授也提醒大家关注微流控芯片标准问题。 /p p   与此同时,本次会议吸引了多家投资公司参与,多家投资公司代表全程参会对微流控芯片技术表示了极大的兴趣。其中高通的周一林带来报告《How China values its own intellectual property in the area like Biotechnology》,强云资本的刘志强带来报告《医疗器械公司如何融资》,华大共赢的纪昌涛带来报告《生命健康领域内的技术与模式创新研究》。 /p p   此外本次会议也得到北京百康芯、中科芯瑞(苏州)生物、深圳博瑞生物、北京深蓝云生物、深圳合川医疗、杭州霆科生物等厂商的鼎力支持,并带来他们最新技术及产品展示。 /p p style=" TEXT-ALIGN: center" strong & nbsp img title=" 1.jpg" src=" http://img1.17img.cn/17img/images/201712/insimg/aca46170-bbfe-47e4-8e0f-5db1df773932.jpg" / /strong /p p style=" TEXT-ALIGN: center" img title=" 2.jpg" src=" http://img1.17img.cn/17img/images/201712/insimg/9f31b2be-1a29-45d9-b837-98f1c98a0d80.jpg" / /p p style=" TEXT-ALIGN: center" & nbsp /p p style=" TEXT-ALIGN: center" strong 公司展位现场交流图 /strong /p p strong & nbsp /strong /p p & nbsp /p p & nbsp /p
  • 华丽回归,助力智能制造,探索激光新应用,2022华南激光展盛大开幕
    11月15日华南先进激光及加工应用技术展览会终于,终于,终于不负众望如约而至了!!!这一天,虽然等了两年,但是,今年展会以新身份、新面貌再次回归业内视野第二十四届中国国际高新技术成果交易会成员展——2022华南国际智能制造、先进电子及激光技术博览会(简称:LEAP Expo)于11月15日,在深圳国际会展中心(宝安新馆)盛大开幕。而作为LEAP Expo成员展之一,华南先进激光及加工应用技术展览会(简称:华南激光展)与LEAP Expo旗下成员展慕尼黑华南电子展及慕尼黑华南电子生产设备展,并与同期举办的华南电路板国际贸易采购博览会、中国(深圳)机器视觉展暨机器视觉技术及工业应用研讨会(VisionChina深圳)共同亮相高交会。LEAP Expo为制造业不同细分领域的专业观众集中呈现了表面贴装、点胶注胶及材料、线束加工、电子组装自动化、机器人及智能仓储、质量控制、元器件制造、半导体、传感器、电源、无源元件、连接器、测试测量、PCB、汽车电子、激光智造技术及装备、光源和先进激光器件、激光加工控制及配套系统、工业智能检测与质量控制技术、激光加工服务、3D打印/增材制造技术,机器视觉核心部件和辅件等多个板块的新品及技术研发成果,联合产业优质企业,助力高交会在智能制造领域主题的呈现与技术展示。联动大湾区,响应“20+8”产业集群目标聚焦消费电子、半导体、锂电、医疗、智能检测等应用领域当前,粤港澳大湾区是目前中国最具活力和最国际化的地区之一,有着完整的机器人及智能制造产业链,产业集群协同效应日益凸显。在以“内循环”为主体,“双循环”相互促进的发展格局推动下,深圳处于内外循环交汇的重要位置,是大湾区建设的重要引擎。今年,深圳提出“20+8”产业集群发展目标:着力推动网络与通信、软件与信息服务、智能终端、超高清视频显示、新能源、海洋产业等增加值千亿级产业集群发展优势更加凸显,半导体与集成电路、智能传感器、工业母机等产业短板加快补齐,智能网联汽车、新材料、高端医疗器械、生物医药、数字创意、现代时尚等产业发展水平显著提升,同时也是为粤港澳大湾区先进制造业核心竞争力的提升注入强劲动力。华南激光展立足大湾区,背靠华南雄厚的产业基础与市场资源,深度剖析先进激光器,诠释未来激光新应用。展会汇聚了多家知名企业,为大家呈现智能检测、激光材料与配件、激光器、激光设备与控制系统等激光智能制造上下游产业链一站式采购平台,携手大族、华工、二十三所、通快、MKS、隐冠半导体、韵腾、热刺、创鑫、普雷茨特、光惠、锐科、步波、泰德、华日、飞博、汉立、汇乐、圣德科、中图仪器、滨松、佳能、永新、凌云光、凯普林、 镭宝、Ekspla、长飞光坊、炬光、奥创、晨锐腾晶、灏克、大科激光、卓镭、嘉强、东露阳、Light Conversion、仪景通、盛镭、德擎、诺派、贝尔金、星汉、铟尼镭斯、鼎鑫盛、易安锐、视百科、睿达、日月新、斯派特等激光产业链内知名企业,联袂演绎激光技术在消费电子、半导体、锂电、医疗、智能检测等重点终端应用场景的加工展示与创新发展。激光+智能制造,跨界融合看激光创新技术及智能检测展示区智能制造是“中国制造2025”主攻方向,是未来制造业发展的重大趋势和核心内容。通过跨界融合打开了智能制造升级的新出口,加速中国制造2025的进一步落地。深圳是国内激光和增材制造产业的重要集聚区,已初步形成覆盖材料、器件、软件、设备和应用服务全链条的产业生态体系。今年深圳出台的行动计划中指出“行业应用深度融合”,到2025年,围绕3C电子、新能源、新型显示等优势领域,将打造一批“激光+”和“3D打印+”智能制造应用示范项目。建成若干检验检测、试验验证、应用研发等产业基础设施和公共服务平台,形成覆盖源头创新、智能制造、创新应用的产业发展生态。华南激光展顺势而为,为强化创新驱动,推动技术跨越发展,提升“基础与专用材料-关键零部件-高端装备与系统-应用于服务”的激光产业链整体创新效能,精心打造“激光创新技术及智能检测展示区”,携手通快、MKS、普雷茨特、TOPTICA、滨松光子、奥创、光惠、蓝菲、德擎集中展示激光创新技术、工业智能检测技术及核心部件,内容包括光源和先进激光器件、激光加工控制及配套系统、检测仪器和设备等,应用于激光加工制造的AOI缺陷检测、产品表面及外观检测、零件的几何尺寸和误差测量等。现场通过各类演示模式及配合专人讲解,为消费电子、微电子/半导体、集成电路、新能源、汽车工程、医疗等下游用户带来激光深度应用和智能检测技术方案。Start-ups初创专区氛围热烈,企业前景看好作为创业浓度强、创业氛围好的城市-深圳,指引着科技的创新和发展。深圳人社部门为了中小企业的创业之路更加顺利,出台了一系列政策。为了更好地赋能初创企业,匹配专业领域买家或企业技术人才。本届华南激光展携手慕尼黑上海光博会,推出“初创企业助力计划”,发挥平台优势,帮助初创企业扩大品牌影响力,提供宣传渠道,寻找合适人才。麓邦、久渡科技、康克科技、法拉第、佛山帕科斯、蓝溪华兴光电、中辉激光、光缘实业、杰昇精密五金、长春飞鹰、广东艾莫讯等11家初创规模的企业齐聚“Start-ups初创专区”,纷纷拿出了各自专注领域的引以为豪的展品向专业观众解说,应用领域广泛,产品种类繁多,甚至已经远销海外,涵盖光学元件、光学模组、光学系统及仪器、激光腔体、特种光纤处理设备及高功率光纤器件、保偏光纤产品、高端激光器、超短脉冲光纤激光器、固体激光器、半导体激光器老化系统、半导体激光器测试系统、半导体激光器、高功率皮秒激光器、激光打标,激光焊接、激光清洗控制、精密机械零部件、激光切割机、激光清洗机等。可以说这些初创企业都是“未来之星”,期待他们在激光市场中能继续发光发热,为行业发展贡献更多力量,创造更多技术可能,甚至引起行业变革。头脑风暴,探索激光工艺赋能消费电子创新升级随着全球消费电子产业迅速发展,消费电子产品朝着集成化、精密化、智能化的方向升级,电子产品的内部构建也愈发精巧,对制造过程中的高效率、高精度、热影响区小、无污染等要求越来越高,激光工艺的发展正为消费行业的精密加工带来了更优的解决方案。消费电子产品制造对激光工艺的需求既是生产制造升级的需求,也为华南地区的消费电子创新智造提供持续动力。华南激光展开幕当日,《激光工艺赋能消费电子创新制造研讨会》同期举办。针对激光技术在消费电子产品制造行业的创新应用和解决方案展开话题讨论,深度探索消费电子智能制造中对激光工艺需求和难点,促进激光技术的技术革新和设备升级。大会为消费电子领域用户寻找新技术、了解行业先机、与业内专家近距离交流提供了一个绝佳平台。浩浩荡荡买家团,商贸配对不可少为进一步帮助展商拓展商机、获取意向订单、提高参展效率,华南激光展主办方联合行业协会、媒体及相关业界机构共同邀请了由消费电子、微电子、工业电子等应用领域人士组成的专业买家团,莅临参观展会,更在展会现场专设商贸配对区,基于展前供需双方线上填写的采购及配对需求,特邀有采购意向的决策层与展商一对一线下开展贸易洽谈。2022华南激光展,作为第二十四届高交会智能制造系列展之一,依托于高交会的平台优势,以推动“激光+智能制造”深度融合为目标,深挖激光产业链先进技术产品,配套同期论坛、商贸配对等丰富同期活动,以期汇聚更多行业优质资源、精准对接垂直领域核心业务,为上下游企业提供综合性服务商贸平台。明日会议预告目前,5G、智能汽车、智能制造、人工智能、物联网等技术的快速发展,对各类芯片的旺盛需求,正成为驱动半导体制造业进一步增长的重要力量。另一方面,由于缺乏核“芯”技术而带来的产业发展卡脖子问题,以及当前因为芯片短缺问题而导致的生产停滞问题,都在促使国内芯片制造业奋力图强!而在半导体芯片的制造及封装测试过程中,激光技术正在越来越多地参与其中,从晶圆的光刻到切割划片,从清洗到钻孔,激光已经成为半导体制造中不可或缺的关键工具。本次研讨会雅时国际商讯、《激光世界》杂志将联合华南先进激光及加工应用技术展览会,围绕“激光技术在半导体芯片制造中的应用”这一话题展开讨论。逛展那么累怎能不奖励自己?别忘了明天前往6H44展位参与幸运大抽奖活动精美礼品等你来拿走!速速来试试好运吧!此外,观看展会云直播且转发朋友圈也有好礼相送啦!
  • 非制冷势垒型InAsSb基高速中波红外探测器
    高速响应的中波红外探测器在自由空间光通信和频率梳光谱学等新兴领域的需求逐渐增加。中长波XBₙn势垒型红外光探测器对暗电流等散粒噪声具有抑制作用。近期,由中国科学院半导体研究所、昆明物理研究所、中国科学院大学和陆装驻重庆军代局驻昆明地区第一军代室组成的科研团队在《红外与毫米波学报》期刊上发表了以“非制冷势垒型InAsSb基高速中波红外探测器”为主题的文章。该文章第一作者为贾春阳,通讯作者为赵俊总工程师和张逸韵研究员。本工作制备了不同直径的nBn和pBn结构的中波InAsSb/AlAsSb红外接地-信号-接地(GSG)探测器。对制备的探测器进行了变温暗电流特性,结电容特性和室温射频响应特性的表征。材料生长、器件制备和测试通过固态源分子束外延装置在2英寸的n型Te-GaSb衬底上外延生长nBn和pBn器件。势垒型器件的生长过程如下所示:先在衬底上生长GaSb缓冲层来平整表面以及减少应力和位错,接着生长重掺杂(10¹⁸ cm⁻³)n型InAsSb接触层,然后生长2.5 μm厚的非故意掺杂(10¹⁵ cm⁻³)InAsSb体材料吸收层。之后生长了150 nm厚的AlAsSb/AlSb数字合金电子势垒层,通过插入超薄的AlSb层实现了吸收区和势垒层的价带偏移的显著减少,有助于空穴向接触电极的传输,同时有效阻止电子以减小暗电流。最后分别生长300 nm厚的重掺杂(10¹⁸ cm⁻³)n型InAsSb和p型GaSb接触层用于形成nBn和pBn器件结构。其中,Si和Be分别被用作n型和p型掺杂源。生长后,通过原子力显微镜(D3100,Veeco,USA)和高分辨X射线衍射仪(Bede D1,United Kingdom)对晶片进行表征以确保获得高质量的材料质量。通过激光划片将2英寸的外延片划裂为1×1 cm²的样片。样片经过标准工艺处理,包括台面定义、钝化和金属蒸镀工艺,制成直径从10 μm到100 μm的圆形台面单管探测器。台面定义工艺包括通过电感耦合等离子体(ICP)和柠檬酸基混合溶液进行的干法刻蚀和湿法腐蚀工艺,以去除器件侧壁上的离子诱导损伤和表面态。器件的金属电极需要与射频探针进行耦合来测试器件的射频响应特性,因此包括三个电极分别为Ground(接地)、Signal(信号)和Ground,其中两个Ground电极相连,与下接触层形成欧姆接触,Signal电极与上接触层形成欧姆接触,如图1(c)和(f)所示。通过低温探针台和半导体参数分析仪(Keithley 4200,America)测试器件77 K-300 K范围的电学特性。器件的光学响应特性在之前的工作中介绍过,在300 K下光电探测器截止波长约为4.8 μm,与InAsSb吸收层的带隙一致。在300 K和反向偏置为450 mV时,饱和量子效率在55%-60%。通过探针台和频率响应范围10 MHz-67 GHz的矢量网络分析仪(Keysight PNA-XN5247B,America)对器件进行射频响应特性测试。结果与讨论材料质量表征图1(a)和(d)的X射线衍射谱结果显示,从左到右的谱线峰分别对应于InAsSb吸收层和GaSb缓冲层/衬底。其中,nBn和pBn外延片的InAsSb吸收区的峰值分别出现在60.69度和60.67度,GaSb衬底的峰值则出现在60.72度。因此,InAsSb吸收层与GaSb 衬底的晶格失配分别为-108 acsec和-180 acsec,符合预期,表明nBn和pBn器件的InAsSb吸收区和GaSb衬底几乎是晶格匹配的生长条件。因此,nBn和pBn外延片都具有良好的材料质量。原子力显微镜扫描的结果在图1的(b)和(e)中,显示出生长后的nBn和pBn外延片具有良好的表面形貌。在一个5×5 μm²的区域内,nBn和pBn外延片的均方根粗糙度分别为1.7 Å和2.1 Å。图1 (a)和(a)分别为nBn和pBn外延片的X射线衍射谱;(b)和(e)分别为nBn和pBn外延片的原子力显微扫描图;(c)和(f)分别为制备的圆形GSG探测器的光学照片和扫描电子照片器件的变温暗电流特性图2(a)显示了器件直径90 μm的nBn和pBn探测器单管芯片的温度依赖暗电流密度-电压曲线,通过在连接到Keithley 4200半导体参数分析仪的低温探针台上进行测量。图2(b)显示了件直径90 μm的nBn和pBn探测器在77 K-300 K下的微分电阻和器件面积的乘积R₀A随反向偏压的变化曲线,温度下降的梯度(STEP)为25 K。图2(c)显示了在400 mV反向偏压下,nBn和pBn探测器表现出的从77 K到300 K的R₀A与温度倒数(1000/T)之间的关系,温度变化的梯度(STEP)为25 K。图2 从77K到300K温度下直径90 μm的nBn和pBn探测器单管芯片(a)暗电流密度-电压曲线;(b)微分电阻和器件面积的乘积R₀A随反向偏压的变化曲线;(c)R₀A随温度倒数变化曲线器件暗电流的尺寸效应由于势垒型红外探测器对于体内暗电流可以起到较好的抑制作用,因此研究人员关注与台面周长和面积有关的表面泄露暗电流,进一步抑制表面漏电流可以进一步提高探测器的工作性能。图3(a)显示了从20 μm到100 μm直径的nBn和pBn器件于室温工作的暗电流密度和电压关系,尺寸变化的梯度(STEP)为10 μm。图3(b)显示从20 μm-100 μm的nBn和pBn探测器的微分电阻和台面面积的乘积R₀A随反向偏压的变化曲线。图3(d)中pBn器件的相对平缓的拟合曲线说明了具有较高的侧壁电阻率,根据斜率的倒数计算出约为1.7×10⁴ Ωcm。图3 从20 μm到100 μm直径的nBn和pBn器件于室温下的(a)暗电流密度和电压变化曲线和(b)R₀A随反向偏压的变化曲线;(c)在400 mV反偏时,pBn和nBn器件R₀A随台面直径的变化;(d)(R₀A)⁻¹与周长对面积(P/A)变化曲线器件的结电容图4(a)显示了使用Keithley 4200 CV模块在室温下不同直径的nBn和pBn探测器的结电容随反向偏压的变化曲线,器件直径从20 μm到100 μm按照10 μm梯度(STEP)变化。对于势垒层完全耗尽的pBn探测器,预期器件电容将由AlAsSb/AlSb势垒层电容和InAsSb吸收区耗尽层电容的串联组合给出,其中包括势垒层和上接触层侧的InAsSb耗尽区。图4 (a)在室温下不同直径的nBn和pBn探测器的结电容随反向偏压的变化曲线;(b)反偏400 mV下结电容与台面直径的变化曲线。器件的射频响应特性通过Keysight PNA-X N5247B矢量网络分析仪、探针台和飞秒激光光源,在室温和0-3 V反向偏压下,对不同尺寸的nBn和pBn探测器在10 MHz至67 GHz之间进行了射频响应特性测试。根据图5推算出在3V反向偏压下的40 μm、50 μm、70 μm、80 μm、90 μm、100 μm直径的圆形nBn和pBn红外探测器的3 dB截止频率(f3dB)。势垒型探测器内部载流子输运过程类似光电导探测器,表面载流子寿命对响应速度会产生影响。图5 在300 K下施加-3V偏压的40 μm、50 μm、70 μm、80 μm、90 μm、100 μm直径的nBn和pBn探测器的归一化频率响应图图6 不同尺寸的nBn和pBn探测器(a)3 dB截止频率随反向偏压变化曲线;(b)在3 V反向偏压下的3 dB截止频率随台面直径变化曲线图6(a)展示了对不同尺寸的nBn和pBn探测器,在0-3 V反向偏压范围内的3 dB截止频率的结果。随着反向偏压的增大,不同尺寸的器件的3 dB带宽也随之增大。因此,在图6(a)中观察到在低反向偏压下nBn和pBn器件的响应较慢,nBn探测器的截止频率落在60 MHz-320 MHz之间而pBn探测器的截止频率落在70 MHz-750 MHz之间;随着施加偏压的增加,截止频率增加,nBn和pBn器件最高可以达到反向偏压3V下的2.02 GHz和2.62 GHz。pBn器件的响应速度相较于nBn器件提升了约29.7%。结论通过分子束外延法在锑化镓衬底上生长了两种势垒型结构nBn和pBn的InAsSb/AlAsSb/AlSb基中波红外光探测器,经过台面定义、工艺钝化工艺和金属蒸镀工艺制备了可用于射频响应特性测试的GSG探测器。XRD和AFM的结果表示两种结构的外延片都具有较好的晶体质量。探测器的暗电流测试结果表明,在室温和反向偏压400 mV工作时,直径90 μm的pBn器件相较于nBn器件表现出更低的暗电流密度0.145 A/cm²,说明了该器件在室温非制冷环境下表现出低噪声。不同台面直径的探测器的暗电流测试表明,pBn器件的表面电阻率约为1.7×10⁴ Ωcm,对照的nBn器件的表面电阻率为3.1×10³ Ωcm,而pBn和nBn的R₀A体积项的贡献分别为16.60 Ωcm²和5.27 Ωcm²。探测器的电容测试结果表明,可零偏压工作的pBn探测器具有完全耗尽的势垒层和部分耗尽的吸收区,nBn的吸收区也存在部分耗尽。探测器的射频响应特性表明,直径90 μm的pBn器件的响应速度在室温和3 V反向偏压下可达2.62 GHz,对照的nBn器件的响应速度仅为2.02 GHz,相比提升了约29.7%。初步实现了在中红外波段下可快速探测的室温非制冷势垒型光探测器,对室温中波高速红外探测器及光通讯模块提供技术路线参考。论文链接:http://journal.sitp.ac.cn/hwyhmb/hwyhmbcn/article/abstract/2023157
  • 热烈庆祝沈阳科晶荣获 “沈阳市科技创新百强企业”
    近年来,沈阳市民营企业规模实力逐渐增强,创新能力随之提升,转型升级不断加快。今年初,沈阳市工商联首次开展民营企业“就业百强、纳税百强、科技创新百强、规模百强”评选活动,通过对民营企业的百强排名,更进一步展示其风采和形象,发挥百强企业的领头雁作用。2019年8月5日沈阳市工商业联合会发布了2018年度沈阳市民营企业“就业百强”“纳税百强”“科技创新百强”“规模百强”名单。沈阳科晶成功入选沈阳市“科技创新百强企业”。这次评选由13个部门参与,评选委员会主任由市政府主管民营经济工作的领导担任,并明确了由市工商联牵头组织,市人社局、税务局、科技局、工信局、统计局等13个部门共同参与。委员会首先确定了“四个百强”企业的评选标准,并由人社局、税务局、科技局、工商联、统计局按照评选标准分别组织评选出120家候选企业后,向应急管理、环保、法院、税务、人社、公安、人民银行进行征信认证,然后将征信筛选出的候选名单提报评选委员会审核和综合评议,确定出“四个百强”企业入围名单,并提报市委、市政府审定,最终确定了此次评选结果。 “科技创新百强”入围企业的效益明显高于全市整体水平,100家企业营业收入总体规模为562亿元。从行业分布看,入围企业全面覆盖了国家重点支持的八大高新技术领域,其中前五名的行业是:先进制造技术41家、生物与新医药18家、新材料15家、电子信息10家、高新技术服务6家。从区域分布看,除新民市、辽中各有2家,法库有1家企业上榜外,其余95家企业均分布在市内九区,其中浑南区依然最多,有34家企业入围。这份来之不易的荣耀与每一位科晶人的努力和坚守密不可分 ,沈阳科晶将继续秉承务实、奉献、拼搏、诚信、创新、责任、奋发进取、用户至上的企业理念,与广大客户相扶相持共同成长,相信在不久的将来,沈阳科晶将会成为国际市场上专业的、杰出的材料分析设备品牌。让我们凝心聚力共奋斗,砥砺前行再起航! 产品推荐STX-202A小型金刚石线切割机SYJ-200自动精密切割机SYJ-400 CNC划片切割机 32工位高通量XRF检测仪MSK-NFES-3C台式静电纺丝机GSL-1800X-ZF4蒸发镀膜仪VTC-600-3HD三靶磁控溅射仪 PCE-6小型等离子清洗机VTC-100PA真空旋转涂膜机,匀胶机
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制