当前位置: 仪器信息网 > 行业主题 > >

数显面板表

仪器信息网数显面板表专题为您提供2024年最新数显面板表价格报价、厂家品牌的相关信息, 包括数显面板表参数、型号等,不管是国产,还是进口品牌的数显面板表您都可以在这里找到。 除此之外,仪器信息网还免费为您整合数显面板表相关的耗材配件、试剂标物,还有数显面板表相关的最新资讯、资料,以及数显面板表相关的解决方案。

数显面板表相关的资讯

  • 盛美上海推出新型面板级电镀设备,进一步拓展扇出型面板级封装产品线
    8月8日,作为一家为半导体前道和先进晶圆级封装应用提供晶圆工艺解决方案的卓越供应商的盛美半导体设备(上海)股份有限公司(以下简称“盛美上海”)推出用于扇出型面板级封装(FOPLP)的Ultra ECP ap-p面板级电镀设备。盛美上海的Ultra ECP ap-p面板级电镀设备采用盛美上海自主研发的水平式电镀确保面板具有良好的均匀性和精度。该设备可加工尺寸高达515x510毫米的面板,同时具有600x600毫米版本可供选择。该设备兼容有机基板和玻璃基板,可用于硅通孔(TSV)填充、铜柱、镍和锡银(SnAg)电镀、焊料凸块以及采用铜、镍、锡银和金电镀层的高密度扇出型(HDFO)产品。Ultra ECP ap-p面板级电镀设备采用盛美上海自主研发的技术,可精确控制整个面板的电场。该技术适用于各种制造工艺,可确保整个面板的电镀效果一致,从而确保面板内和面板之间的良好均匀性。此外, Ultra ECP ap-p面板级电镀设备采用水平(平面)电镀方式,能够实现面板传输过程中引起的槽体间污染控制,有效减少了不同电镀液之间的交叉污染,可作为具有亚微米RDL和微柱的大型面板的理想选择。该设备还采用了卓越的自动化和机械臂技术,以确保整个电镀工艺过程中面板被高效和高质量的传输。自动化程序与传统晶圆处理过程类似,但为了处理更大更重的面板,额外添加面板翻转机构以正确定位以及转移面板便于进行面朝下电镀等步骤,确保处理的精确性和高效性。 盛美上海董事长王晖博士表示:“先进封装对于满足低延迟、高带宽和高性价比半导体芯片的需求越来越重要。扇出型面板级封装能够提供高带宽和高密度的芯片互连,因此具有更大的发展潜力。由于可在更大的矩形面板上重新分配芯片,扇出型面板级封装为封装大型图形处理器(GPU)和高密度高带宽内存(HBM)节约了大量成本。我们的Ultra ECP ap-p面板级的水平式电镀设备充分利用我们在传统先进封装的晶圆电镀和铜工艺方面的丰富技术专长,满足市场对扇出型面板级封装不断增长的需求。凭借这项技术,我们能够在面板中实现亚微米级先进封装。”
  • TCL华星第8.6代氧化物半导体新型显示器件生产线项目投产,月产能18万张玻璃面板
    9月29日,TCL华星第8.6代氧化物半导体新型显示器件生产线项目(简称“TCL华星广州t9项目”)正式投产。据了解,该项目投资350亿元,月产能18万张玻璃基板,是国内首座专门生产高端IT产品及专业显示的液晶面板高世代产线。钛媒体APP了解到, t9项目以IT、车载、医疗、工控和航空等不同应用场景下的显示产品为核心,可生产6吋到100吋全尺寸系列显示产品;在效率上,相较于G8.5代量产线,t9项目的手机及笔电代表尺寸的切割效率平均提升9%,主流笔电尺寸切割效率平均提升10%。经过前两年的高歌猛进,今年以来主要面板价格在不断下降,面板厂商们正在经历低谷。从今年半年报来看,包括京东方、深天马、信维诺等在内的面板厂商业绩均出现下滑。在此背景下,面板厂商们通过拓展新终端、多元化布局、降本增效等多种方式度过低谷。TCL华星t9项目此时投产,也是希望把握全球显示面板行业止跌反弹的机会。根据群智咨询(Sigmaintell)数据分析,预计全球液晶电视面板2022年10月止跌回稳。从32英寸到75英寸的液晶电视面板价格,今年10月都将与9月持平。显示器、NB面板价格10月的跌幅也将收窄。尽管全球消费电子市场备货旺季已逐渐进入尾声,但主力品牌库存继续下降及面板厂扩大减产幅度,将令全球中大尺寸液晶面板的价格在2022年四季度分阶段止跌企稳。TCL相关负责人认为,面对即将回暖的整体市场及旺盛的细分领域需求,t9项目将有助于TCL华星中尺寸业务进入规模化、体系化经营新阶段,进一步强化集聚发展趋势与竞争优势,使TCL华星持续夯实完善全尺寸领域产品矩阵和业务体系,构建发展新动能,成为TCL科技业绩增长主引擎之一。
  • 全球OLED面板Q1出货量排名,前五名中国独占四席!
    综述篇:淡季不淡,一季度全球智能手机面板市场出货约5.4亿片,同比增长约24.4%虽然一季度作为智能手机面板备货需求的传统淡季,环比出货量有所下滑,但同比仍呈大幅度增长。一方面,终端品牌对中高端OLED备货需求积极性不减,另一方面,在低端市场,品牌需求环比四季度有所下降,面板厂为维持产线的稼动率,向华南渠道市场的出货量仍保持较高水位。群智咨询(Sigmaintell)的统计数据显示,一季度全球智能手机面板市场出货约5.4亿片(Open Cell统计口径),同比增长约24.4%。结构篇:中高端OLED品牌需求旺盛a-Si LCD方面,根据群智咨询(Sigmaintell)数据,一季度全球a-Si LCD智能手机面板出货约2.9亿片,环比下降约10.1%,同比增长约23.7%。LTPS LCD方面,中长期来看,智能手机终端对LTPS LCD需求的维持收缩趋势,面板厂也在积极开发中尺寸产品以及相应的产能调配,但短期内由于中尺寸产品需求无法快速填充产能的背景下,为减少影响,避免出货量大幅度下滑,面板厂积极推动品牌及华南渠道市场出货量。群智咨询(Sigmaintell)数据显示,一季度全球LTPS-LCD智能手机面板出货约6600万片,与去年同期相比,小幅度下滑约1.5%。Ÿ随着以三星为代表的终端品牌增加2024年刚性OLED项目的需求,刚性OLED面板的出货量增加显著。根据群智咨询(Sigmaintell)的统计数据,一季度刚性OLED智能手机面板出货约5740万片,同比大幅度增长77.5%。从全年终端品牌的规划需求来看,接下来几个季度刚性OLED出货相较去年同期也将会有较明显的增长。Ÿ柔性OLED方面,一季度随着部分中高端机型的持续热卖,特别是国内品牌端备货积极性不减。供应侧,国内大部分面板厂产线的稼动率均维持在较高水平运转,并且产品价格也在持续向上修复。根据群智咨询(Sigmaintell)的统计数据,一季度柔性OLED智能手机面板出货约1.3亿片,同比增长26.1%。并且二季度,终端品牌积极备货的势头将仍然延续。竞争篇:a-Si LCD高世代线产能优势延续;中国大陆地区OLED面板竞争力持续增强,市场占比首超半数达到51.8%在智能手机终端需求处于弱复苏阶段,产品成熟,技术创新乏力,整体供大于求的背景下,面板价格的竞争更深层次反映了产能上的竞争。这一现象在a-Si LCD市场的现象更为明显,高世代线所具有的产能优势持续,不断挤压着低世代线的市场份额,造成其经营压力的增加。群智咨询(Sigmaintell)统计数据显示,目前已经使用G8.x产线供应智能手机a-Si LCD面板应用的三家厂商BOE,HKC,TCL华星的总份额持续提升,一季度在a-Si LCD智能手机细分市场的份额达到54.7%,同比增加5.0个百分点,并且这一数据还在持续攀升。中高端OLED智能手机面板赛道的竞争主要体现在中韩厂商的竞争上,随着国内OLED面板厂的产品技术和产能的双重提升,并且为品牌端提供更具有竞争力的价格,使得更多的终端品牌将柔性OLED需求订单转向国内OLED面板厂供应商,国内各OLED面板厂出货量均得到大幅度提升。群智咨询(Sigmaintell)的数据显示,一季度大陆OLED面板整体出货约9780万片,同比增长55.7%,市场占比首超半数达到51.8%,相对上个季度增加7.4个百分点。三星显示(SDC)虽然市场占有率持续下降,但仍以42.4% 的市场份额占据全球OLED智能手机面板市场的首位,并且其一季度呈现刚性OLED出货量反超柔性OLED的反常现象。京东方(BOE)凭借丰富的客户体系,以及领先的技术和产能优势,一季度OLED智能手机面板出货达到约3400万片,以约17.7%的市场份额位列全球第二。此外,其投建了国内首条G8.6代AMOLED生产线,将推动OLED显示产业向中尺寸迈进。维信诺(Visionox)在取得更多的品牌合作下,中高端LTPO、折叠等产品出货量持续提升,一季度OLED智能手机面板出货约1970万片,同比增长约2倍,位居全球出货第三。未来将会进一步加强在中大尺寸赛道的开拓,推动OLED面板向中尺寸应用渗透。展望篇:面对“淡季不淡”的开端,需警惕个别技术面板需求“旺季不旺”展望2024年全年来看,终端手机市场呈现温和复苏,而一季度智能手机面板市场展现了“淡季不淡”的良好开端,但对于下半年的市场要重点关注,需警惕个别技术面板需求“旺季不旺”。2024年仍有新产线的产能持续释放,不同技术别面板的供需关系也呈现分化,特别是a-Si LCD和LTPS LCD中低端面板市场,创新有限,以价格为主导的竞争环境激烈。在G8.x高世代线产能的持续释放下,近年来华南渠道市场占比持续走高,备货量大幅增长,未来仍需紧密关注,多应用合理布局。而目前对于经营压力较大的低世代产线的选择有限,仍只有走差异化,降本增效,以及极致经营的策略。全球市场经济环境不佳,政治经济等黑天鹅事件的发生概率变大,终端品牌及面板厂商均需对市场环境保持警惕。
  • 中国水产学院使用捷锐新款控制面板
    上海2012年11月5日---中国水产科学研究院,实验室供气系统于近日完工,此次供气系统使用了捷锐新款特气控制面板、报警器、单侧式汇流排等产品。 捷锐新款P系列特气控制面板,根据客户使用感受、习惯及使用的便利性出发,优化面板安装方式,方便客户拆装和维修,融合实验室工作环境的外观设计,更简洁、利落。另外,对产品进行技术改良,从细节上优化,增加单向阀等部件,提供产品安全性。产品系列重新整合,分为高低压系列,方便客户根据压力选择合适的产品,秉承使用品质配件和严格检测标准,一如既往保障客户利益。整个供气配置报警器,数显示值,一旦超过压力设定值,将会发出声光报警,提醒检查管路和更换气瓶,有效维持供气系统正常运行。 关于捷锐 捷锐企业(上海)有限公司成立于1993年,专精研发制造高洁净之集中供气系统及流体控制相关零件、组件、系统设备、焊割器具、仪器仪表等。产品主要应用在半导体、气体、化工、生物科技、核电、航天、食品等行业。厂区内配备欧美最先进的高科技生产设备,并设置中央实验室、检测室及Class 10/100/1000无尘室。GENTEC® 捷锐荣获ISO 9001,ISO13485,API SPEC Q1等国际质量体系认证,并获权使用美国UL及欧盟CE标志。 GENTEC® 拥有全球40余年的市场、研发及制造经验,提供流体系统整体解决方案,遍布全球的行销服务网络,远销世界100余国,赢得全球用户的信赖。 媒体联络人: 销售联系人:部门:市场部 部门:工业行销部联系人:汪蓉蓉 联系人:曹永年电话:021-67727123-116 电话:13701757351
  • 盘古半导体面板级封测项目动工,总投资30亿元
    6月30日,江苏盘古半导体科技股份有限公司多芯片高密度面板级扇出型封装产业化项目奠基仪式举行,标志着该项目进入全面施工阶段。该项目将聚焦面板级封装技术的开发及应用,建设世界首条全自动面板级封装生产线。盘古半导体先进封测项目计划总投资30亿元。项目分两个阶段建设,其中一阶段建设期为2024至2028年,新建总建筑面积约12万平方米的厂房及相关附属配套设施,推动面板级封装技术的开发及应用。2025年部分投产,项目全面达产后预计年产值不低于9亿元,年经济贡献不低于4000万元。面板级封装是指将半导体芯片重新分布在大面板上而不是使用单独封装的先进封装技术,能够将多个芯片、无源元件和互连集成在一个封装内,与传统封装方法相比,该技术提供了更大的灵活性、可扩展性和成本效益。
  • SID 2024直击:京东方/维信诺/三星等六家面板厂商产品技术一览
    5月14日,由国际信息显示学会(SID)主办的国际显示周在美国圣何塞隆重启幕。而京东方、维信诺、三星显示、LGD等厂商均携最新产品技术和创新成果亮相SID 2024,引起市场的极大关注。京东方作为全球半导体显示行业龙头企业,BOE(京东方)携50余款由ADS Pro、f-OLED、α-MLED三大显示技术品牌赋能的多款全球首发和行业领先的创新技术新品,以及裸眼3D、光场显示、AIoT、VR/AR等全新一代前沿技术应用重磅亮相,并首度提出了“全场景AI智慧显示”的技术方向和相关解决方案,在世界科技舞台上彰显了京东方的技术前瞻性和领导力以及中国显示力量的全球话语权。其中,京东方重磅首发的全球首款16K 110英寸超大尺寸裸眼3D显示屏,以超高清、超高分辨率、多视点、广色域等优势突破了显示行业的创新高度,该产品搭载高色域Mini LED背光技术以及京东方自主研发的16K交织排图算法,3D视角扩大至60°,广泛应用于广告、会议、教育等多元化场景。此外,京东方全球首发的电动柔性车载驾舱吸引了现场众多观众驻足,包括主驾驶侧的17英寸曲率渐变中控屏和副驾驶侧的首款15.05英寸电动折叠屏,曲率半径低至400mm,可根据不同使用需求实现自动形态变换。另外一款全球首发的京东方44.8英寸车载超大尺寸氧化物智能座舱同样亮点十足,搭配玻璃基MLED背光可实现百万级对比度和2000nit高亮画质,完美满足消费者对品质和沉浸式视觉体验感的卓越追求。此外,京东方还重磅推出行业领先的P0.3 Micro LED产品,具有2000nit峰值亮度、40000:1对比度和110%NTSC色域,无边框曲面滑动拼接车载原型机采用模块化滑动拼接的方式,实现无缝拼接,灵活布局屏幕和显示内容。京东方为电竞玩家带来的16英寸Ultra-S游戏笔记本显示产品,采用Mini LED背光技术,具有行业首发2000:1超高静态对比度设计,以及240Hz超高刷新率,可呈现媲美OLED画质的极致流畅游戏体验。而在此次SID展会上,京东方基于AI+显示带来系列抢眼的技术和产品,为显示行业升维发展注入了新动能。其中,京东方重磅推出全球首发第三代UB Cell AI TV,不仅具有BT2020 95%超高色域,还使屏幕光线反射率大幅降低至0.7%,100Lux环境光下可感知对比度提升至1400:1(超过OLED两倍以上),且全视角无色偏无褪色,带来画质可超越OLED电视的极致震撼体验;在智慧显示方面,通过行业首创的屏幕集成温感和多路光感传感器,多维度实时感知面板和环境温度、环境亮度和色温,并通过自适应动态调节驱动,实现在任意环境和场景下都保持最佳显示画质。同时,在LCD上全球首发了局部动态刷新的灵动显示技术,实现不同区域1Hz-120Hz智能刷新模式,可满足可变区域显示、智慧调频等更多创新应用场景,并可实现屏幕功耗降低15%-50%以上。在创新应用基础上,京东方还带来了系列“AI+显示”的画质技术方案,率先布局技术升级风口,定义未来显示行业发展趋势。为更好降低OLED模组功耗,京东方推出全球首发的硬件级AI低功耗画质提升技术,通过AI神经网络在屏端的深度融合,实现OLED显示模组8K 120 Hz实时画质处理,降低OLED模组功耗20%以上,达到功耗降低与画质提升的最佳平衡。此外,京东方还带来了14英寸低功耗笔记本显示产品、31.5英寸AB MNT高端LCD显示器、27英寸H显示器等多款领先的低功耗技术及绿色低碳的笔记本、显示器、手机等产品,实现从设计、模组、面板到整机的全流程一体化的绿色可持续发展。维信诺作为“秀场”上的常驻代表,本届展会维信诺带来MLA+COE/ UBA+COE低功耗、高性能组合解决方案、AMOLED Real In-cell TP屏内集成触控解决方案、小折叠主副屏一体化解决方案、AMOLED透明一体机解决方案、88英寸P0.5前维护TFT基Micro-LED拼接显示等5项全球领先新技术。同时还带来行业领先的新技术、新应用,覆盖小、中、大、全尺寸,以创新广度促进显示性能再升级,拓宽应用新场景。小尺寸方面,维信诺从显示性能、形态和集成功能上进行优化升级。其中,性能上更精进,带来更低功耗解决方案MLA+COE/UBA+COE;形态上再创新,推出四周窄边框2K手机显示解决方案和3D球面贴合穿戴显示解决方案;屏幕集成上更智慧,包括屏幕定向发声集成技术、双频双极化AMOLED 5G毫米波屏上天线技术以及AMOLED屏上电磁触控与电容触控集成等解决方案。中尺寸方面,维信诺发布中尺寸20-640Hz宽频LTPS技术、智能分区多频技术、AMOLED全氧化物中尺寸技术、AMOLED曲面悬浮显示等系列解决方案,维信诺已做好充分准备,充分满足市场需求;同时,维信诺还带来多款中尺寸创新应用,包含智慧车载、智慧家居、智慧办公三大领域,开启智慧视界新体验。大尺寸方面,从中试向量产进阶。Micro-LED是维信诺面向显示布局的新赛道,2023年9月,维信诺参股公司辰显光电全球首条TFT基Micro-LED生产线奠基,加快从中试研发向商业化进程。本次展会,辰显光电展出全球领先的88英寸P0.5前维护TFT基Micro-LED拼接显示,可用于商业显示、指挥调度、高端会议等场景。值得提及的是,维信诺于2023年5月全球首发ViP技术,同年12月ViP AMOLED量产项目首片模组点亮,向规模量产实现关键一跃。时隔一年,维信诺在今年的SID展会上,带来基于ViP技术的G6小规模量产线成果。LG Display在2024年SID显示器周上,LG Display展示用于VR的OLEDoS,该技术首次向公众展示,与现有标准相比,其屏幕亮度和分辨率显著提高。尽管它有1.3英寸的硬币大小,但它实现了10000尼特的超高亮度和4000 ppi左右的超高分辨率,属于4K级别。此外,其色彩表达精度通过满足数字影院倡议(DCI)超过97%的DCI-P3标准色彩区域来实现。所有这些都使虚拟现实更加逼真,具有业界领先的画质。OLEDoS由沉积在硅片衬底上的OLED组成,作为一种即使在微尺度上也能提供高清晰度AR和VR的方式,它正吸引着人们的关注。特别地,与普通显示器相比,VR显示器需要更高的屏幕亮度和分辨率,以在外部光线被阻挡时增加观看者的沉浸感。用于VR的OLEDoS将新开发的高性能OLED元件与该公司的微透镜阵列(MLA)相结合,这是一种最大限度地提高光发射率的技术,与标准水平相比,亮度提高了约40%。MLA通过允许一层微米大小的凸透镜来提高亮度,这些凸透镜非常小,肉眼看不见,否则会在面板的内部反射中消失。LG Display还为智能手表展示了OLEDoS,这是同类产品中的第一个。它的尺寸为1.3英寸,具有4K分辨率,即使在手腕上也能清晰显示内容。它还配备了无眼镜的3D技术,称为光场技术,具有类似全息的效果。同样在2024年SID显示器周上,该公司通过展示83英寸OLED电视和游戏OLED面板来强调其无与伦比的大尺寸OLED领导地位。这两款产品都采用了LG Display的META Technology 2.0,其图像比传统OLED亮42%。META Technology 2.0的亮度代表了画质的关键元素之一,实现了3000尼特,是现有OLED电视面板中最亮的水平。此外,LG Display还展示27英寸480赫兹QHD游戏OLED面板、39英寸的超宽游戏OLED面板、用于车辆的高清OLED和LTPS LCD,以及基于高性能LTPS LCD的无玻璃3D仪表板等产品。三星Display三星Display推出了业界首次推出的QD-LED。三星Display公开的笔记本电脑大小的18.2英寸QD-LED,分辨率为3200x1800。同时还展示了上市第3年的QD-OLED最新产品,包括全球最先开发并备受关注的4K 31.5英寸和360Hz 27英寸等游戏显示器产品在内,最高亮度为3000nit的65英寸电视面板备受关注。三星显示器还推出了水平进一步提高的LFD显示器。LFD是无眼镜3D显示屏之一,是利用显示屏和光学技术,使左眼和右眼能够看到不同的影像,从而感受到立体感的技术。笔记本电脑类型的16英寸LFD,只要用户进入观看距离为40至70厘米以内,就会自动开启3D功能。进化的视线追踪技术在视听40度范围内,可根据使用者眼睛的位置实时修正3D画面,提供FHD级分辨率的内容。UT是针对IT用OLED优化的超薄OLED,是一种技术,可以在现有的2块玻璃底板的LED上省略1块玻璃,减少厚度和重量,制作更薄更轻的笔记本电脑和平板电脑。采用前氧化物背板,在IT用面板中首次将驱动频率减少到1赫兹。此外,三星Display和子公司imagine共同公开了瞄准扩张现实(XR)的RGB OLEDoS技术。特别是首次公开了用于RGB OLEDoS的FSM(Fine Silicon Mask)产品,素密度高达3500ppi,受到了业界的极大关注。友达在SID 2024展会上,友达首次亮相17.3吋Micro LED对折显示屏幕,其弯折铰链半径仅有4mm,对折设计让显示空间最大化,也让大型屏幕便于携带,更一机整合平板电脑与显示屏幕双功能,搭载广色域Adobe 100%、1000 nits超高亮度,在户外使用仍保有精准色相与清晰亮度,可满足旅人、摄影师、设计师、Youtuber或IG直播主等的即时制作需求,是即拍、即传、即时绘图、观看流量的最佳帮手。同时推出单片尺寸全球最大的31吋Micro LED显示屏幕,搭载先进驱动显示技术、ART两大技术,透过特殊的表面处理,让显示器减少环境光造成的反射眩光,放置户外或室内皆可如实呈现画面的质感,更以无边框设计带来超广角视觉体验,让显示器使用需求不受尺寸限制,可无缝拼接成无限大的屏幕打造超震撼效果,亦可应用于医疗管理场域。另外,还推出车舱前座的Micro LED屏幕、副驾驶座屏幕等产品。群创群创发布106吋AM-MicroLED自由拼接显示模组,具备高清细腻画质、高色饱、绝佳环境光对比、无缝拼接等四大特色,将锁定应用于大型空间高清沉浸式体验与数位艺术,抢攻全新利基应用。此外,群创Micro LED技术拥有极广色域、超高环境对比、高清细腻画质及无边框自由拼接等优势,并可提供26.4吋到220吋客制化需求尺寸,打破传统显示器限制,打造数位艺术。
  • 捷锐新款P系列特气控制面板上市
    上海2012年9月17日---捷锐P系列特气控制面板,自从2008年上市以来,被应用于各行业,包括气体、核电、能源、机械、石油、化工、食品等,稳定优异的产品性能,得到客户的认同和肯定。通过几年的使用,捷锐收集来自各方面的意见和建议加以汇整,对P系列特气控制面板进行改良。 捷锐新款P系列特气控制面板,根据客户使用感受、习惯及使用的便利性出发,优化面板安装方式,方便客户拆装和维修,融合实验室工作环境的外观设计,更简洁、利落。另外,对产品进行技术改良,从细节上优化,增加单向阀等部件,提供产品安全性。产品系列重新整合,分为高低压系列,方便客户根据压力选择合适的产品,秉承使用品质配件和严格检测标准,一如既往保障客户利益。 P系列特气控制面板主要优势: -模块集成化产品,便于现场安装 -面板可拆卸式,便于产品维护 -高端产品配置,流量稳定有效,安全可靠 关于捷锐 捷锐企业(上海)有限公司成立于1993年,专精研发制造高洁净之集中供气系统及流体控制相关零件、组件、系统设备、焊割器具、仪器仪表等。产品主要应用在半导体、气体、化工、生物科技、核电、航天、食品等行业。厂区内配备欧美最先进的高科技生产设备,并设置中央实验室、检测室及Class 10/100/1000无尘室。GENTEC® 捷锐荣获ISO 9001,ISO13485,API SPEC Q1等国际质量体系认证,并获权使用美国UL及欧盟CE标志。 GENTEC® 拥有全球40余年的市场、研发及制造经验,提供流体系统整体解决方案,遍布全球的行销服务网络,远销世界100余国,赢得全球用户的信赖。 媒体联络人: 销售联系人: 部门:市场部 部门:工业行销部 联系人:汪蓉蓉 联系人:曹永年 电话:021-67727123-116 电话:13701757351
  • 通潮精密完成新增融资,聚焦集成电路/显示面板设备零部件赛道
    8月12日,芜湖通潮精密机械股份有限公司(以下简称“通潮精密”)官宣于近日完成新增融资,新增投资方包括某产业方、邦盛资本、骆驼股权投资、国元创新、国元基金、合肥建投资本、国禾投资等机构。通潮精密成立于2016年3月,从事显示面板、集成电路关键设备核心零部件(上下电极、加热器)的新品制造及表面处理等业务,涵盖金属件、高纯硅件、石英件等。据介绍,通潮精密产品主要应用于薄膜沉积设备、刻蚀设备等多种关键设备反应腔内部,参与显示面板、晶圆制造的核心工艺环节(薄膜沉积、刻蚀),对面板、晶圆的电学性能、良率有重要影响。同时上述产品因为长期处于高温、腐蚀性等恶劣反应环境中损耗较大,需要定期更换以保障设备性能,属于耗材类零部件。美日韩企业在掌握下游设备技术的同时,在上游的材料、部件制造环节亦长期布局,导致国内显示面板、晶圆制造厂商很长一段时间内,在上述零部件方面对国外企业的依赖程度较高。通潮精密官方消息指出,公司在国内率先实现显示面板领域化学气相沉积设备、干法刻蚀设备上、下电极等部件国产化,同时在集成电路领域系国内少数实现干法刻蚀设备曲面电极自主生产的企业之一,成功导入国内显示面板、集成电路头部厂商的供应链并已形成批量供应,打破显示面板、集成电路领域上述核心零部件长期依赖国外生产的局面。
  • 盛美上海推出Ultra C vac-p 面板级先进封装负压清洗设备
    盛美半导体设备(上海)股份有限公司(以下简称“盛美上海”),作为一家为半导体前道和先进晶圆级封装应用提供晶圆工艺解决方案的卓越供应商,于7月30日推出适用于扇出型面板级封装应用的Ultra C vac-p负压清洗设备,该设备利用负压技术去除芯片结构中的助焊剂残留物,显著提高了清洗效率。标志着盛美上海成功进军高增长的扇出型面板级封装市场。盛美上海宣布一家中国大型半导体制造商已订购Ultra C vac-p面板级负压清洗设备,设备已于7月运抵客户工厂。 据Yole预测,扇出型面板级封装方法的应用增长速度高于扇出市场整体增长速度,其市场份额相较于扇出型晶圆级封装而言将从2022年的2%上升至2028年的8%。预计增长背后的主要动力是成本的降低,传统硅晶圆的使用率低于85%,而面板的使用率高于95%,600x600毫米面板的有效面积是300毫米传统硅晶圆有效面积的5.7倍,面板总体成本预计可降低66%。1 面积利用率的提高带来了更高的产能、更大的AI芯片设计灵活性以及显著的成本降低。 盛美上海董事长王晖博士表示:“在人工智能、数据中心和自动驾驶汽车的推动下,新兴的扇出型面板级封装方法能够提高计算能力、减少延迟并增加带宽。此方法正在迅速成为关键解决方案,它将多个芯片、无源器件和互连集成在面板上的单个封装内,可提供更高的灵活性、可扩展性以及成本效益。面板级负压清洗设备标志着盛美上海在解决下一代先进封装技术的清洗挑战方面迈出重要一步,彰显了半导体制造领域的持续创新,兑现了盛美上海始终致力于满足不断演变的行业需求的坚定承诺。”关于Ultra C vac-p面板级负压清洗设备在底部填充之前清除助焊剂残留物是先进封装流程中消除底部填充空隙的关键步骤。由于表面张力和有限的液体渗透力,传统清洗方法在处理小凸起间距(小于40微米)和大尺寸芯片时比较困难。负压清洗可使清洗液到达狭窄的缝隙,从而有效解决这一问题。此外,由于液体经过距离较长,因此传统方法可能无法满足较大芯片单元的清洗需求。采用负压清洗功能设备后,整个芯片单元甚至是中心部位均可得到彻底清洗,有效避免残留物影响器件性能。Ultra C vac-p面板级负压清洗设备专为面板而设计,该面板材料可以是有机材料或者玻璃材料。该设备可处理510x515毫米和600x600毫米的面板以及高达7毫米的面板翘曲。
  • 贺利氏将在Touch Taiwan展会上推出可折叠式触控面板新技术
    “Touch Taiwan 2016:贺利氏推出用于导电高分子薄膜上的乾膜光阻黄光图案化制程技术,并展示用于柔性触摸显示屏的快速红外固化解决方案” 在今年8月24-26日举办的Touch Taiwan 展览会上,贺利氏将推出通过DFR(Dry-Film Resist 干膜光阻)黄光蚀刻技术在Clevios导电高分子薄膜图案化的新触控面板制程。该工艺是与台湾工业技术研究院(ITRI)联合开发研制。展示全功能7英寸GFF型用DFR干膜光阻黄光蚀刻技术制程的触控面板。 “触控传感器的高解析度图案是高阶触控面板,特别是可弯曲及可折叠的触控显示器的先决条件。高解析度图案制程在Clevios薄膜上是一个很重要里程碑。我们的客户正在快速实施。他们的反馈极佳。”史伯德——电子化学品业务部总经理表示。 基于此项贺利氏的新创技术,可以轻松实现行50um的线宽解析度,甚至更细的解析度也可以达成。 现在整个Clevios触控面板制程的参数设置和工艺窗口均可提供客户在其生产中实施。Clevios薄膜和传感器可以轻松耐受超过30万次曲率半径低于1mm的折弯,而不产生损伤。 最佳创新:快速红外(IR)固化为优质基材做出贡献 贺利氏展台的第二项创新是基于超薄柔性聚酰亚胺基材的7英寸Clevios导电聚合物柔性触摸面板。聚酰亚胺固化是贺利氏特种光源的业务领域,其定制的快速红外固化技术实现超薄聚酰亚胺基材薄膜的最快速和高效的固化,而该材料是下一代柔性显示屏和触摸面板基材的关键材料。红外辐射器以极高的效率进行非接触式传热。与传统的热风炉相比,几分钟即可完成固化工艺,而不是以前的几小时。此外,由于没有空气流动,将污染减少至最低限度。与材料的吸收波长精确匹配的红外辐射器可以更快地进行加热。碳中波辐射器满足聚酰亚胺的吸收光谱要求,可以实现快速的红外固化和烘干。
  • 台积电抢下了面板厂,扩充CoWoS 产能
    近期市场频频传出,群创去年关闭的台南四厂(5.5 代。LCD面板厂),原本盛传由美光、台积电积极洽谈,有意购入。但根据最新消息,该厂由台积电抢亲成功,以扩充CoWoS 产能。过去一直有消息称美光想买群创5.5 代厂,但据业界消息,应是封测大厂南茂与台积电竞争。群创7 月30 日公告,为挹注公司营运及未来发展动能,充实营运资金,拟处分南科D 厂区(5.5 代厂)TAC 厂相关不动产。群创也指出,为配合业务需求暨争取时效,呈请董事会授权洪进扬董事长于不低于拟处分资产在最近期财务报表上之帐面价值,参酌专业估价报告及市场行情资讯(同等规模厂房重置成本),与本案潜在交易对象协商处分条件及签署买卖相关合约。目前预期群创应该会在近期内再度公布相关消息。针对上述传言,群创过去不断表示,不对市场传闻做任何评论。台积电亦不回应市场传闻。综合目前消息,台积电嘉义厂仍是CoWoS 重镇,但近期因为遗迹问题卡关,如果台积电顺利抢到台南四厂,有机会缓解吃紧的CoWoS 产能。CoWos热卖,台积电措手不及人工智能(AI)带动台积电先进封装CoWos火红,对照10多年前,技术刚研发出来时,却「门可罗雀」,熟知内情业界人士表示,当时台积电CoWos没有客户,只有赛灵思(Xilinx)愿采用,但1个月只要50片,量少到可怜,跟目前产能需求热到供不应求,可说天差地别。台积电于2013年10月曾发布新闻稿,指出赛灵思采用CoWoS技术成功量产28纳米产品,这印证业界人士所言不假。台积电当时指出,赛灵思采用该公司CoWoS (Chip-on-Wafer-on-Substrate) 技术开发28纳米3D IC产品,藉由整合多个晶片于单一系统之上,达到显著缩小尺寸并提升功耗与效能的优势。业界人士表示,台积电10多年前,开发出CoWos技术,没有客户,只有赛灵思愿采用,但1个月只要50片,「量小得不得了」,可以说量少得可怜;当时,台积电创办人张忠谋采纳研发大将蒋尚义建议,跨足先进封装,大手笔给他4百位工程师、1亿美元研发资源,结果开发出CoWos技术没有生意,蒋尚义去年受访曾说过,这在公司变成1个笑话,令他相当窘境。蒋尚义分享过,针对CoWos技术,他曾经到处向客户推广技术,还是没有人要用;后来,他有1次跟1家客户的副总裁吃饭,对方不经意提到不采用CoWos的原因是价格太贵,如果1个平方毫米卖7分美金太贵,只要卖1分美金才愿意用,他恍然大悟,回公司后,立即请研发主管去做出降低成本的技术,也就是另1种先进封装InFO技术。InFO就是苹果等智能型手机晶片客户采用的先进封装技术,也是台积电大卖的技术,业界传出,1年贡献台积电营收已约超过30亿美元。至于,台积电CoWos后来真正有量产的首家客户,并非美商,也非AI晶片霸主辉达,而是当时刚从半导体业冒出的中国华为海思。业界人士分析,因CoWos与InFO技术不同,性能虽好,价格又高出多倍,对既有客户是风险,海思当时新产品还没有市场占有率,采CoWos新技术,风险性低,即使用错了,也没太大损失,所以,比起其他大厂客户,很勇于采用。台积电CoWos产能原本不多,没有想到近年来AI发展比预期快,AI晶片采CoWoS先进封装,CoWoS产能顿时难满足,台积电扩产缓不济急,今年与明年连续两年的产能都将倍增,预计到2026年才能达供需平衡,台积电表示,会与后段专业封测代工厂持续合作,以因应客户需求。
  • 艾德姆衡器推出全新英文面板天平
    为了迎合广大艾德姆用户的需求,艾德姆将全新推出英文按键设计的分析天平和精密天平。产品更美观。用户可根据需要来选择中英文面板   新产品继承了原产品的完备功能,具有8种称量功能:基本称重,净重/总重称量,检测称重,百分比称重,累计称重,零件计数,动物/动态称量,密度测量(选配密度测量套件),下方称重等。产品扩展性强,能够满足不同领域的用户的不同称量需求。   新产品同样具备全金属抗氧化防腐蚀外壳:全金属外壳更耐磨,更适合于酸碱度较高的环境下使用。   新产品设计延续了大背光液晶显示屏及量程跟踪指示条,测量结果触目可及。   产品特性一览:   超大液晶背光显示屏   RS232双向数据传输,支持GLP打印, 以及打印格式可编辑   自动温度补偿   支持4种语言,英、法、德、西班牙语   支持17种单位+1种自定义单位   量程跟踪显示   时间、星期、日期可设置   秤盘下方秤重   密码保护/安全锁设计   金属外壳, 坚固防腐蚀   新产品外观一览: PWC系列分析天平   PGW系列精密天平   详情请咨询艾德姆衡器上海代表处:021-51801901
  • Mya 4自动化反应工作站控制面板全新升级!
    ——合臣科技 进口国产 通用实验室仪器设备——英国Radleys公司成立于1966年,拥有超过50年的科学实验用玻璃器皿和实验室仪器研发、制造经验,其客户包括蓝筹企业和学术研究机构。Radleys专注于生产化学合成、工艺开发、合成后处理和蒸发实验用的设备,致力于为您提供更安全、更清洁、更环保和更高产率的创新型化学实验设备。其下产品Mya 4自动化反应工作站是一个具有四个反应位点的自动化个工作站,配合触摸屏控制面板可为您的实验提供安全精准地加热、实时冷却、软件控制和数据记录功能,可用于进行24小时/7天无人值守的实验。自2023年起,Mya4触摸屏控制面板Pad全新升级!具体升级内容将在下方为您展示:1. 面板升级:新PAD由原来的7英寸升级为10英寸/25.4cm。2. 处理器升级:尺寸的增加也带来了处理器的全新升级,增强了处理软件性能,更快地响应交互操作。3. 增加了“实验搜索”功能:用户可根据实验名称或日期范围进行搜索,同时也可以根据名称和日期对文件进行排序,快速找到您的实验应用。4. 增加∆ T、Tc数值:∆ T值(模块温度-样品温度),便于观察放热情况;Tc值(内部冷却液温度),方便您快速关注冷却液状态。5. 增加PID日志记录:当您在在优化或更改PID设置时,会额外向您提供P、I、D的影响信息数据,优化您的实验操作,向您直观展示实验变化。2023年起尚未发货的Mya 4订单将全面升级为新面板。购买过的用户可以自主选择以旧换新升级套餐,详情可以留言咨询。合臣科技(上海)有限公司是进口、国产通用实验室仪器设备的供应商。主要供应英国Radleys、德国Mbraun(布劳恩)、德国Vacuubrand(普兰德)、德国Huber(富博)、德国Heidolph(海尔道夫)、德国IKA(艾卡)、瑞士Mettler Toledo(梅特勒-托利多)、德国Christ、德国Kruss(克吕士)、美国Waters(沃特世)、美国Unchained Labs(非链)、瑞典Biotage(拜泰齐)、上海一恒(Being)、合臣科技自产、英国Stoli Chem、德国Micro 4 Industries等众多品牌产品,还供应其他优质的国产通用实验室仪器。
  • 机构:2024年大尺寸OLED面板出货量将增长125%
    Omdia数据显示,2024年9英寸以上OLED面板(大尺寸显示器)的出货量将增长124.6%。2023年,该市场萎缩25.7%。Omdia表示,2024年所有应用领域的出货量都将增加,包括电视、显示器、平板电脑和笔记本电脑等,只有“其他”类别的销售将下降。特别是,平板电脑OLED出货量预计将比2023年增长294%,这在很大程度上是由于苹果在2024款iPad Pro平板电脑中采用OLED;笔记本电脑OLED出货量将增长152.6%;显示器OLED出货量将增长139.9%;OLED电视面板出货量将增长34.8%。从供应商来看,2024年领先的大尺寸OLED面板生产商将是三星显示,市场份额为52.5%;LG显示(LG Display)市场份额为33.1%;和辉光电市场份额为10.1%;京东方占有3%的市场份额;维信诺占有1.1%市场份额。
  • 盛美上海新品发布!推出Ultra C bev-p面板级边缘刻蚀设备
    盛美半导体设备(上海)股份有限公司(以下简称“盛美上海”),作为一家为半导体前道和先进晶圆级封装应用提供晶圆工艺解决方案的卓越供应商,于今日推出用于扇出型面板级封装(FOPLP)应用的新型Ultra C bev-p面板边缘刻蚀设备。新型Ultra C bev-p面板边缘刻蚀设备该设备专为铜相关工艺中的边缘刻蚀和清洗而设计,能够同时处理面板的正面和背面的边缘刻蚀,显著提升了工艺效率和产品可靠性。Ultra C bev-p设备采用专为边缘刻蚀和铜残留清除而设计的湿法刻蚀工艺,在扇出型面板级封装技术中起到至关重要的作用。该工艺对于有效避免电气短路、最大限度降低污染风险、保持后续工艺步骤的完整性至关重要,有助于确保器件经久耐用。该设备的高效性主要得益于盛美上海的专利技术,以应对方形面板衬底所带来的独特挑战。与传统的圆形晶圆不同,盛美上海的独特设计可以实现精确的去边工艺,确保在翘曲面板的加工过程仅限于边缘区域。这一专利技术对于保持刻蚀工艺的完整性以及提供先进半导体技术所需的高性能和可靠性至关重要。盛美上海董事长王晖博士表示:我们认为扇出型面板级封装的地位日益凸显,原因在于该项技术能够满足现代电子应用不断发展的需求,在集成密度、成本效率和设计灵活性方面具有优势。得益于在湿法工艺方面的深厚技术专长,盛美上海新型Ultra C bev-p设备性能卓越,属于首批可用于面板级应用的双面边缘刻蚀设备。我们期待Ultra C bev-p与今年推出的Ultra ECP ap-p面板级水平式电镀设备和Ultra C vac-p负压清洗设备共同推动具有高精度特性的大型面板先进封装行业进步以及扇出型面板级封装技术市场发展。
  • 机构:Q1 OLED屏智能手机出货量同比增长50%,面板收入增长3%
    据研究机构DSCC报告,OLED屏智能手机销售强劲,2024年第一季度手机出货量同比增长50%,预计面板收入增长3%。上半年,OLED屏智能手机出货量将同比增长43%,预计面板总收入将增长7%。机构表示,由于OLED面板平均销售单价(ASP)降低,以及宏观经济改善,预计2024年全年OLED屏智能手机出货量将同比增长21%,面板收入将增长3%。其中柔性OLED屏智能手机出货量将同比增长13%,可折叠OLED屏手机用将同比增长26%,刚性OLED屏智能手机将增长46%。品牌方面,预计苹果将成为OLED屏智能手机的领导者,出货量份额可达29%,面板收入份额高达50%。三星在这一市场份额将有所上升,因为其OLED屏智能手机销量同比增长49%。此外,由于荣耀、华为、OPPO、三星、vivo、小米等出货量增长,苹果的出货量、收入份额均相比2023年有所下滑。
  • 晶圆表面缺陷检测方法综述【下】
    上接:晶圆表面缺陷检测方法综述【上】4. 基于机器学习的晶圆表面缺陷检测机器学习主要是将一个具体的问题抽象成一个数学模型,通过数学方法求解模型,求解该问题,然后评估该模型对该问题的影响。根据训练数据的特点,分为监督学习、无监督学习和半监督学习。本文主要讨论这三种机器学习方法在晶圆表面缺陷检测中的应用。机器学习模型比较如表2所示。表 2.机器学习算法的比较。分类算法创新局限监督学习KNN系列对异常数据不敏感,准确率高。复杂度高,计算强度高。决策树-Radon应用Radon以形成新的缺陷特征。过拟合非常熟练。SVMSVM 可对多变量、多模态和不可分割的数据点进行高效分类。它对多个样本不友好,内核函数难以定位。无监督学习多层感知器聚类算法采用多层感知器增强特征提取能力。取决于激活函数的选择。DBSCAN可以根据缺陷模式特征有选择地去除异常值。样本密度不均匀或样本过大,收敛时间长,聚类效果差。SOM高维数据可以映射到低维空间,保持高维空间的结构。目标函数不容易确定。半监督学习用于增强标记的半监督框架将监督集成学习与无监督SOM相结合,构建了半监督模型。培训既费时又费时。半监督增量建模框架通过主动学习和标记样本来增强模型性能,从而提高模型性能。性能取决于标记的数据量。4.1. 监督学习监督学习是一种学习模型,它基于该模型对所需的新数据样本进行预测。监督学习是目前晶圆表面缺陷检测中广泛使用的机器学习算法,在目标检测领域具有较高的鲁棒性。Yuan,T等提出了一种基于k-最近邻(KNN)的噪声去除技术,该技术利用k-最近邻算法将全局缺陷和局部缺陷分离,提供晶圆信息中所有聚合的局部缺陷信息,通过相似聚类技术将缺陷分类为簇,并利用聚类缺陷的参数化模型识别缺陷簇的空间模式。Piao M等提出了一种基于决策树的晶圆缺陷模式识别方法。利用Radon变换提取缺陷模式特征,采用相关性分析法测度特征之间的相关性,将缺陷特征划分为特征子集,每个特征子集根据C4.5机制构建决策树。对决策树置信度求和,并选择总体置信度最高的类别。决策树在特定类别的晶圆缺陷检测中表现出更好的性能,但投影的最大值、最小值、平均值和标准差不足以代表晶圆缺陷的所有空间信息,因此边缘缺陷检测性能较差。支持向量机(SVM)在监督学习中也是缺陷检测的成熟应用。当样本不平衡时,k-最近邻算法分类效果较差,计算量大。决策树也有类似的问题,容易出现过度拟合。支持向量机在小样本和高维特征的分类中仍然具有良好的性能,并且支持向量机的计算复杂度不依赖于输入空间的维度,并且多类支持向量机对过拟合问题具有鲁棒性,因此常被用作分类器。R. Baly等使用支持向量机(SVM)分类器将1150张晶圆图像分为高良率和低良率两类,然后通过对比实验证明,相对于决策树,k-最近邻(KNN)、偏最小二乘回归(PLS回归)和广义回归神经网络(GRNN),非线性支持向量机模型优于上述四种晶圆分类方法。多类支持向量机在晶圆缺陷模式分类中具有更好的分类精度。L. Xie等提出了一种基于支持向量机算法的晶圆缺陷图案检测方案。采用线性核、高斯核和多项式核进行选择性测试,通过交叉验证选择测试误差最小的核进行下一步的支持向量机训练。支持向量机方法可以处理图像平移或旋转引起的误报问题。与神经网络相比,支持向量机不需要大量的训练样本,因此不需要花费大量时间训练数据样本进行分类。为复合或多样化数据集提供更强大的性能。4.2. 无监督学习在监督学习中,研究人员需要提前将缺陷样本类型分类为训练的先验知识。在实际工业生产中,存在大量未知缺陷,缺陷特征模糊不清,研究者难以通过经验进行判断和分类。在工艺开发的早期阶段,样品注释也受到限制。针对这些问题,无监督学习开辟了新的解决方案,不需要大量的人力来标记数据样本,并根据样本之间的特征关系进行聚类。当添加新的缺陷模式时,无监督学习也具有优势。近年来,无监督学习已成为工业缺陷检测的重要研究方向之一。晶圆图案上的缺陷图案分类不均匀,特征不规则,无监督聚类算法对这种情况具有很强的鲁棒性,广泛用于检测复杂的晶圆缺陷图案。由于簇状缺陷(如划痕、污渍或局部失效模式)导致难以检测,黄振提出了一种解决该问题的新方法。提出了一种利用自监督多层感知器检测缺陷并标记所有缺陷芯片的自动晶圆缺陷聚类算法(k-means聚类)。Jin C H等提出了一种基于密度的噪声应用空间聚类(DBSCAN)的晶圆图案检测与分类框架,该框架根据缺陷图案特征选择性地去除异常值,然后提取的缺陷特征可以同时完成异常点和缺陷图案的检测。Yuan, T等提出了一种多步晶圆分析方法,该方法基于相似聚类技术提供不同精度的聚类结果,根据局部缺陷模式的空间位置识别出种混合型缺陷模式。利用位置信息来区分缺陷簇有一定的局限性,当多个簇彼此靠近或重叠时,分类效果会受到影响。Di Palma,F等采用无监督自组织映射(SOM)和自适应共振理论(ART1)作为晶圆分类器,对1种不同类别的晶圆进行了模拟数据集测试。SOM 和 ART1 都依靠神经元之间的竞争来逐步优化网络以进行无监督分类。由于ART是通过“AND”逻辑推送到参考向量的,因此在处理大量数据集时,计算次数增加,无法获得缺陷类别的实际数量。调整网络标识阈值不会带来任何改进。SOM算法可以将高维输入数据映射到低维空间,同时保持输入数据在高维空间中的拓扑结构。首先,确定神经元的类别和数量,并通过几次对比实验确定其他参数。确定参数后,经过几个学习周期后,数据达到渐近值,并且在模拟数据集和真实数据集上都表现良好。4.3. 半监督学习半监督学习是一种结合了监督学习和无监督学习的机器学习方法。半监督学习可以使用少量的标记数据和大量的未标记数据来解决问题。基于集成的半监督学习过程如图 8 所示。避免了完全标记样品的成本消耗和错误标记。半监督学习已成为近年来的研究热点。图8.基于集成的半监督学习监督学习通常能获得良好的识别结果,但依赖于样本标记的准确性。晶圆数据样本可能存在以下问题。首先是晶圆样品数据需要专业人员手动标记。手动打标过程是主观的,一些混合缺陷模式可能会被错误标记。二是某些缺陷模式的样本不足。第三,一些缺陷模式一开始就没有被标记出来。因此,无监督学习方法无法发挥其性能。针对这一问题,Katherine Shu-Min Li等人提出了一种基于集成的半监督框架,以实现缺陷模式的自动分类。首先,在标记数据上训练监督集成学习模型,然后通过该模型训练未标记的数据。最后,利用无监督学习算法对无法正确分类的样本进行处理,以达到增强的标记效果,提高晶圆缺陷图案分类的准确性。Yuting Kong和Dong Ni提出了一种用于晶圆图分析的半监督增量建模框架。利用梯形网络改进的半监督增量模型和SVAE模型对晶圆图进行分类,然后通过主动学习和伪标注提高模型性能。实验表明,它比CNN模型具有更好的性能。5. 基于深度学习的晶圆表面缺陷检测近年来,随着深度学习算法的发展、GPU算力的提高以及卷积神经网络的出现,计算机视觉领域得到了定性的发展,在表面缺陷检测领域也得到了广泛的应用。在深度学习之前,相关人员需要具备广泛的特征映射和特征描述知识,才能手动绘制特征。深度学习使多层神经网络能够通过抽象层自动提取和学习目标特征,并从图像中检测目标对象。Cheng KCC等分别使用机器学习算法和深度学习算法进行晶圆缺陷检测。他们使用逻辑回归、支持向量机(SVM)、自适应提升决策树(ADBT)和深度神经网络来检测晶圆缺陷。实验证明,深度神经网络的平均准确率优于上述机器学习算法,基于深度学习的晶圆检测算法具有更好的性能。根据不同的应用场景和任务需求,将深度学习模型分为分类网络、检测网络和分割网络。本节讨论创新并比较每个深度学习网络模型的性能。5.1. 分类网络分类网络是较老的深度学习算法之一。分类网络通过卷积、池化等一系列操作,提取输入图像中目标物体的特征信息,然后通过全连接层,根据预设的标签类别进行分类。网络模型如图 9 所示。近年来,出现了许多针对特定问题的分类网络。在晶圆缺陷检测领域,聚焦缺陷特征,增强特征提取能力,推动了晶圆检测的发展。图 9.分类网络模型结构图在晶圆制造过程中,几种不同类型的缺陷耦合在晶圆中,称为混合缺陷。这些类型的缺陷复杂多变且随机性强,已成为半导体公司面临的主要挑战。针对这一问题,Wang J等提出了一种用于晶圆缺陷分类的混合DPR(MDPR)可变形卷积网络(DC-Net)。他们设计了可变形卷积的多标签输出和一热编码机制层,将采样区域聚焦在缺陷特征区域,有效提取缺陷特征,对混合缺陷进行分类,输出单个缺陷,提高混合缺陷的分类精度。Kyeong和Kim为混合缺陷模式的晶圆图像中的每种缺陷设计了单独的分类模型,并通过组合分类器网络检测了晶圆的缺陷模式。作者使用MPL、SVM和CNN组合分类器测试了六种不同模式的晶圆映射数据库,只有作者提出的算法被正确分类。Takeshi Nakazawa和Deepak V. Kulkarni使用CNN对晶圆缺陷图案进行分类。他们使用合成生成的晶圆图像训练和验证了他们的CNN模型。此外,提出了一种利用模拟生成数据的方法,以解决制造中真实缺陷类别数据不平衡的问题,并达到合理的分类精度。这有效解决了晶圆数据采集困难、可用样品少的问题。分类网络模型对比如表3所示。表3. 分类网络模型比较算法创新Acc直流网络采样区域集中在缺陷特征区域,该区域对混合缺陷具有非常强的鲁棒性。93.2%基于CNN的组合分类器针对每个缺陷单独设计分类器,对新缺陷模式适应性强。97.4%基于CNN的分类检索方法可以生成模拟数据集来解释数据不平衡。98.2%5.2. 目标检测网络目标检测网络不仅可以对目标物体进行分类,还可以识别其位置。目标检测网络主要分为两种类型。第一种类型是两级网络,如图10所示。基于区域提案网络生成候选框,然后对候选框进行分类和回归。第二类是一级网络,如图11所示,即端到端目标检测,直接生成目标对象的分类和回归信息,而不生成候选框。相对而言,两级网络检测精度更高,单级网络检测速度更快。检测网络模型的比较如表4所示。图 10.两级检测网络模型结构示意图图 11.一级检测网络模型结构示意图表4. 检测网络模型比较算法创新AccApPCACAE基于二维主成分分析的级联辊类型自动编码。97.27%\YOLOv3-GANGAN增强了缺陷模式的多样性,提高了YOLOv3的通用性。\88.72%YOLOv4更新了骨干网络,增强了 CutMix 和 Mosaic 数据。94.0%75.8%Yu J等提出了一种基于二维主成分分析的卷积自编码器的深度神经网络PCACAE,并设计了一种新的卷积核来提取晶圆缺陷特征。产品自动编码器级联,进一步提高特征提取的性能。针对晶圆数据采集困难、公开数据集少等问题,Ssu-Han Chen等首次采用生成对抗网络和目标检测算法YOLOv3相结合的方法,对小样本中的晶圆缺陷进行检测。GAN增强了缺陷的多样性,提高了YOLOv3的泛化能力。Prashant P. SHINDE等提出使用先进的YOLOv4来检测和定位晶圆缺陷。与YOLOv3相比,骨干提取网络从Darknet-19改进为Darknet-53,并利用mish激活函数使网络鲁棒性。粘性增强,检测能力大大提高,复杂晶圆缺陷模式的检测定位性能更加高效。5.3. 分段网络分割网络对输入图像中的感兴趣区域进行像素级分割。大部分的分割网络都是基于编码器和解码器的结构,如图12所示是分割网络模型结构示意图。通过编码器和解码器,提高了对目标物体特征的提取能力,加强了后续分类网络对图像的分析和理解。在晶圆表面缺陷检测中具有良好的应用前景。图 12.分割网络模型结构示意图。Takeshi Nakazawa等提出了一种深度卷积编码器-解码器神经网络结构,用于晶圆缺陷图案的异常检测和分割。作者设计了基于FCN、U-Net和SegNet的三种编码器-解码器晶圆缺陷模式分割网络,对晶圆局部缺陷模型进行分割。晶圆中的全局随机缺陷通常会导致提取的特征出现噪声。分割后,忽略了全局缺陷对局部缺陷的影响,而有关缺陷聚类的更多信息有助于进一步分析其原因。针对晶圆缺陷像素类别不平衡和样本不足的问题,Han Hui等设计了一种基于U-net网络的改进分割系统。在原有UNet网络的基础上,加入RPN网络,获取缺陷区域建议,然后输入到单元网络进行分割。所设计的两级网络对晶圆缺陷具有准确的分割效果。Subhrajit Nag等人提出了一种新的网络结构 WaferSegClassNet,采用解码器-编码器架构。编码器通过一系列卷积块提取更好的多尺度局部细节,并使用解码器进行分类和生成。分割掩模是第一个可以同时进行分类和分割的晶圆缺陷检测模型,对混合晶圆缺陷具有良好的分割和分类效果。分段网络模型比较如表5所示。表 5.分割网络模型比较算法创新AccFCN将全连接层替换为卷积层以输出 2D 热图。97.8%SegNe结合编码器-解码器和像素级分类层。99.0%U-net将每个编码器层中的特征图复制并裁剪到相应的解码器层。98.9%WaferSegClassNet使用共享编码器同时进行分类和分割。98.2%第6章 结论与展望随着电子信息技术的不断发展和光刻技术的不断完善,晶圆表面缺陷检测在半导体行业中占有重要地位,越来越受到该领域学者的关注。本文对晶圆表面缺陷检测相关的图像信号处理、机器学习和深度学习等方面的研究进行了分析和总结。早期主要采用图像信号处理方法,其中小波变换方法和空间滤波方法应用较多。机器学习在晶圆缺陷检测方面非常强大。k-最近邻(KNN)、决策树(Decision Tree)、支持向量机(SVM)等算法在该领域得到广泛应用,并取得了良好的效果。深度学习以其强大的特征提取能力为晶圆检测领域注入了活力。最新的集成电路制造技术已经发展到4 nm,预测表明它将继续朝着更小的规模发展。然而,随着这些趋势的出现,晶圆上表面缺陷的复杂性也将增加,对模型的可靠性和鲁棒性提出了更严格的挑战。因此,对这些缺陷的分析和处理对于确保集成电路的高质量制造变得越来越重要。虽然在晶圆表面缺陷分析领域取得了一些成果,但仍存在许多问题和挑战。1、晶圆缺陷的公开数据集很少。由于晶圆生产和贴标成本高昂,高质量的公开数据集很少,为数不多的数据集不足以支撑训练。可以考虑创建一个合成晶圆缺陷数据库,并在现有数据集上进行数据增强,为神经网络提供更准确、更全面的数据样本。由于梯度特征中缺陷类型的多功能性,可以使用迁移学习来解决此类问题,主要是为了解决迁移学习中的负迁移和模型不适用性等问题。目前尚不存在灵活高效的迁移模型。利用迁移学习解决晶圆表面缺陷检测中几个样品的问题,是未来研究的难题。2、在晶圆制造过程中,不断产生新的缺陷,缺陷样本的数量和类型不断积累。使用增量学习可以提高网络模型对新缺陷的识别准确率和保持旧缺陷分类的能力。也可作为扩展样本法的研究方向。3、随着技术进步的飞速发展,芯片特征尺寸越来越小、越来越复杂,导致晶圆中存在多种缺陷类型,缺陷相互折叠,导致缺陷特征不均匀、不明显。增加检测难度。多步骤、多方法混合模型已成为检测混合缺陷的主流方法。如何优化深度网络模型的性能,保持较高的检测效率,是一个亟待进一步解决的问题。4、在晶圆制造过程中,不同用途的晶圆图案会产生不同的缺陷。目前,在单个数据集上训练的网络模型不足以识别所有晶圆中用于不同目的的缺陷。如何设计一个通用的网络模型来检测所有缺陷,从而避免为所有晶圆缺陷数据集单独设计训练模型造成的资源浪费,是未来值得思考的方向。5、缺陷检测模型大多为离线模型,无法满足工业生产的实时性要求。为了解决这个问题,需要建立一个自主学习模型系统,使模型能够快速学习和适应新的生产环境,从而实现更高效、更准确的缺陷检测。原文链接:Electronics | Free Full-Text | Review of Wafer Surface Defect Detection Methods (mdpi.com)
  • 晶圆表面缺陷检测方法综述【上】
    摘要晶圆表面缺陷检测在半导体制造中对控制产品质量起着重要作用,已成为计算机视觉领域的研究热点。然而,现有综述文献中对晶圆缺陷检测方法的归纳和总结不够透彻,缺乏对各种技术优缺点的客观分析和评价,不利于该研究领域的发展。本文系统分析了近年来国内外学者在晶圆表面缺陷检测领域的研究进展。首先,介绍了晶圆表面缺陷模式的分类及其成因。根据特征提取方法的不同,目前主流的方法分为三类:基于图像信号处理的方法、基于机器学习的方法和基于深度学习的方法。此外,还简要介绍了代表性算法的核心思想。然后,对每种方法的创新性进行了比较分析,并讨论了它们的局限性。最后,总结了当前晶圆表面缺陷检测任务中存在的问题和挑战,以及该领域未来的研究趋势以及新的研究思路。1.引言硅晶圆用于制造半导体芯片。所需的图案是通过光刻等工艺在晶圆上形成的,是半导体芯片制造过程中非常重要的载体。在制造过程中,由于环境和工艺参数等因素的影响,晶圆表面会产生缺陷,从而影响晶圆生产的良率。晶圆表面缺陷的准确检测,可以加速制造过程中异常故障的识别以及制造工艺的调整,提高生产效率,降低废品率。晶圆表面缺陷的早期检测往往由经验丰富的检测人员手动进行,存在效率低、精度差、成本高、主观性强等问题,不足以满足现代工业化产品的要求。目前,基于机器视觉的缺陷检测方法[1]在晶圆检测领域已经取代了人工检测。传统的基于机器视觉的缺陷检测方法往往采用手动特征提取,效率低下。基于计算机视觉的检测方法[2]的出现,特别是卷积神经网络等神经网络的出现,解决了数据预处理、特征表示和提取以及模型学习策略的局限性。神经网络以其高效率、高精度、低成本、客观性强等特点,迅速发展,在半导体晶圆表面缺陷检测领域得到广泛应用。近年来,随着智能终端和无线通信设施等电子集成电路的发展,以及摩尔定律的推广,在全球对芯片的需求增加的同时,光刻工艺的精度也有所提高。随着技术的进步,工艺精度已达到10纳米以下[5]。因此,对每个工艺步骤的良率提出了更高的要求,对晶圆制造中的缺陷检测技术提出了更大的挑战。本文主要总结了晶圆表面缺陷检测算法的相关研究,包括传统的图像处理、机器学习和深度学习。根据算法的特点,对相关文献进行了总结和整理,对晶圆缺陷检测领域面临的问题和挑战进行了展望和未来发展。本文旨在帮助快速了解晶圆表面缺陷检测领域的相关方法和技能。2. 晶圆表面缺陷模式在实际生产中,晶圆上的缺陷种类繁多,形状不均匀,增加了晶圆缺陷检测的难度。在晶圆缺陷的类型中,无图案晶圆缺陷和图案化晶圆缺陷是晶圆缺陷的两种主要形式。这两类缺陷是芯片故障的主要原因。无图案晶圆缺陷多发生在晶圆生产的预光刻阶段,即由机器故障引起的晶圆缺陷。划痕缺陷如图1a所示,颗粒污染缺陷如图1b所示。图案化晶圆缺陷多见于晶圆生产的中间工序。曝光时间、显影时间和烘烤后时间不当会导致光刻线条出现缺陷。螺旋激励线圈和叉形电极的微纳制造过程中晶圆表面产生的缺陷如图2所示。开路缺陷如图2 a所示,短路缺陷如图2 b所示,线路污染缺陷如图2 c所示,咬合缺陷如图2d所示。图1.(a)无图案晶圆的划痕缺陷;(b)无图案晶圆中的颗粒污染。图2.(a)开路缺陷,(b)短路缺陷,(c)线路污染,以及(d)图案化晶圆缺陷图中的咬合缺陷。由于上述晶圆缺陷的存在,在对晶圆上所有芯片进行功能完整性测试时,可能会发生芯片故障。芯片工程师用不同的颜色标记测试结果,以区分芯片的位置。在不同操作过程的影响下,晶圆上会产生相应的特定空间图案。晶圆图像数据,即晶圆图,由此生成。正如Hansen等在1997年指出的那样,缺陷芯片通常具有聚集现象或表现出一些系统模式,而这种缺陷模式通常包含有关工艺条件的必要信息。晶圆图不仅可以反映芯片的完整性,还可以准确描述缺陷数据对应的空间位置信息。晶圆图可能在整个晶圆上表现出空间依赖性,芯片工程师通常可以追踪缺陷的原因并根据缺陷类型解决问题。Mirza等将晶圆图缺陷模式分为一般类型和局部类型,即全局随机缺陷和局部缺陷。晶圆图缺陷模式图如图3所示,局部缺陷如图3 a所示,全局随机缺陷如图3b所示。全局随机缺陷是由不确定因素产生的,不确定因素是没有特定聚类现象的不可控因素,例如环境中的灰尘颗粒。只有通过长期的渐进式改进或昂贵的设备大修计划,才能减少全局随机缺陷。局部缺陷是系统固有的,在晶圆生产过程中受到可控因素的影响,如工艺参数、设备问题和操作不当。它们反复出现在晶圆上,并表现出一定程度的聚集。识别和分类局部缺陷,定位设备异常和不适当的工艺参数,对提高晶圆生产良率起着至关重要的作用。图3.(a)局部缺陷模式(b)全局缺陷模式。对于面积大、特征尺寸小、密度低、集成度低的晶圆图案,可以用电子显微镜观察光刻路径,并可直接进行痕量检测。随着芯片电路集成度的显著提高,进行芯片级检测变得越来越困难。这是因为随着集成度的提高,芯片上的元件变得更小、更复杂、更密集,从而导致更多的潜在缺陷。这些缺陷很难通过常规的检测方法进行检测和修复,需要更复杂、更先进的检测技术和工具。晶圆图研究是晶圆缺陷检测的热点。天津大学刘凤珍研究了光刻设备异常引起的晶圆图缺陷。针对晶圆实际生产过程中的缺陷,我们通过设备实验对光刻胶、晶圆粉尘颗粒、晶圆环、划痕、球形、线性等缺陷进行了深入研究,旨在找到缺陷原因,提高生产率。为了确定晶圆模式失效的原因,吴明菊等人从实际制造中收集了811,457张真实晶圆图,创建了WM-811K晶圆图数据集,这是目前应用最广泛的晶圆图。半导体领域专家为该数据集中大约 20% 的晶圆图谱注释了八种缺陷模式类型。八种类型的晶圆图缺陷模式如图4所示。本综述中引用的大多数文章都基于该数据集进行了测试。图4.八种类型的晶圆映射缺陷模式类型:(a)中心、(b)甜甜圈、(c)边缘位置、(d)边缘环、(e)局部、(f)接近满、(g)随机和(h)划痕。3. 基于图像信号处理的晶圆表面缺陷检测图像信号处理是将图像信号转换为数字信号,再通过计算机技术进行处理,实现图像变换、增强和检测。晶圆检测领域常用的有小波变换(WT)、空间滤波(spatial filtering)和模板匹配(template matching)。本节主要介绍这三种算法在晶圆表面缺陷检测中的应用。图像处理算法的比较如表1所示。表 1.图像处理算法的比较。模型算法创新局限小波变换 图像可以分解为多种分辨率,并呈现为具有不同空间频率的局部子图像。防谷物。阈值的选择依赖性很强,适应性差。空间滤波基于空间卷积,去除高频噪声,进行边缘增强。性能取决于阈值参数。模板匹配模板匹配算法抗噪能力强,计算速度快。对特征对象大小敏感。3.1. 小波变换小波变换(WT)是一种信号时频分析和处理技术。首先,通过滤波器将图像信号分解为不同的频率子带,进行小波分解 然后,通过计算小波系数的平均值、标准差或其他统计度量,分析每个系数以检测任何异常或缺陷。异常或缺陷可能表现为小波系数的突然变化或异常值。根据分析结果,使用预定义的阈值来确定信号中的缺陷和异常,并通过识别缺陷所在的时间和频率子带来确定缺陷的位置。小波分解原理图如图5所示,其中L表示低频信息,H表示高频信息。每次对图像进行分解时,图像都会分解为四个频段:LL、LH、HL 和 HH。下层分解重复上层LL带上的分解。小波变换在晶圆缺陷特征的边界处理和多尺度边缘检测中具有良好的性能。图5.小波分解示意图。Yeh等提出了一种基于二维小波变换(2DWT)的方法,该方法通过修正小波变换模量(WTMS)计算尺度系数之间的比值,用于晶圆缺陷像素的定位。通过选择合适的小波基和支撑长度,可以使用少量测试数据实现晶圆缺陷的准确检测。图像预处理阶段耗费大量时间,严重影响检测速度。Wen-Ren Yang等提出了一种基于短时离散小波变换的晶圆微裂纹在线检测系统。无需对晶圆图像进行预处理。通过向晶圆表面发射连续脉冲激光束,通过空间探针阵列采集反射信号,并通过离散小波变换进行分析,以确定微裂纹的反射特性。在加工的情况下,也可以对微裂纹有更好的检测效果。多晶太阳能硅片表面存在大量随机晶片颗粒,导致晶圆传感图像纹理不均匀。针对这一问题,Kim Y等提出了一种基于小波变换的表面检测方法,用于检测太阳能硅片缺陷。为了更好地区分缺陷边缘和晶粒边缘,使用两个连续分解层次的小波细节子图的能量差作为权重,以增强每个分解层次中提出的判别特征。实验结果表明,该方法对指纹和污渍有较好的检测效果,但对边缘锋利的严重微裂纹缺陷无效,不能适用于所有缺陷。3.2. 空间过滤空间滤波是一种成熟的图像增强技术,它是通过直接对灰度值施加空间卷积来实现的。图像处理中的主要作用是图像去噪,分为平滑滤镜和锐化滤镜,广泛应用于缺陷检测领域。图6显示了图像中中值滤波器和均值滤波器在增加噪声后的去噪效果。图6.滤波去噪效果图:(a)原始图像,(b)中值滤波去噪,(c)均值滤光片去噪。Ohshige等提出了一种基于空间频率滤波技术的表面缺陷检测系统。该方法可以有效地检测晶圆上的亚微米缺陷或异物颗粒。晶圆制造中随机缺陷的影响。C.H. Wang提出了一种基于空间滤波、熵模糊c均值和谱聚类的晶圆缺陷检测方法,该方法利用空间滤波对缺陷区域进行去噪和提取,通过熵模糊c均值和谱聚类获得缺陷区域。结合均值和谱聚类的混合算法用于缺陷分类。它解决了传统统计方法无法提取具有有意义的分类的缺陷模式的问题。针对晶圆中的成簇缺陷,Chen SH等开发了一种基于中值滤波和聚类方法的软件工具,所提算法有效地检测了缺陷成簇。通常,空间过滤器的性能与参数高度相关,并且通常很难选择其值。3.3. 模板匹配模板匹配检测是通过计算模板图像与被测图像之间的相似度来实现的,以检测被测图像与模板图像之间的差异区域。Han H等从晶圆图像本身获取的模板混入晶圆制造工艺的设计布局方案中,利用物理空间与像素空间的映射,设计了一种结合现有圆模板匹配检测新方法的晶圆图像检测技术。刘希峰结合SURF图像配准算法,实现了测试晶圆与标准晶圆图案的空间定位匹配。测试图像与标准图像之间的特征点匹配结果如图7所示。将模式识别的轮廓提取技术应用于晶圆缺陷检测。Khalaj等提出了一种新技术,该技术使用高分辨率光谱估计算法提取晶圆缺陷特征并将其与实际图像进行比较,以检测周期性2D信号或图像中不规则和缺陷的位置。图7.测试图像与标准图像之间的特征点匹配结果。下接:晶圆表面缺陷检测方法综述【下】
  • 为消费电子龙头检测表面缺陷,玻尔智造获数千万Pre-A轮融资
    36氪获悉,工业视觉方案提供商「玻尔智造」日前获数千万元Pre-A轮融资,领投方为浩澜资本,毅仁资本担任独家财务顾问。本轮融资资金将用于自主光学成像方案和AI技术进一步研发。玻尔智造成立于2020年,结合自研光学方案及AI算法,主要对消费电子产品进行表面缺陷检测。依靠核心技术与缺陷检测效果,如今玻尔智造已成为某消费电子龙头在外观缺陷检测领域的全球专项战略供应商。机器视觉检测此前多应用于面板、PCB、印刷等行业,主要针对单一均匀的二维平面。消费电子产品因存在异形、复杂的三维面等,外观缺陷检测仍主要由人工目检完成。这意味着相当数量的工人需要在高亮度灯光下近距离且长时间观察被检物件,既可能对人眼造成损伤,也存在准确性、稳定性、工作时长等限制。同时,消费电子产品外观缺陷检测存在诸多难点,包括需检测多种表面形态、不同材质、数百种缺陷类别等。以看似简单的手机充电器为例,不仅同时包含塑胶和金属材质,且有平面、弧面、球面等多种表面形态。其他产品如含充电仓的无线耳机等,更存在异形曲面等复杂结构。对此,玻尔智造选择结合自研自控的光学成像方案以及AI图像识别算法,进行整机集成。“打通光学和算法软件的搭配协作,整机才能灵活运用。”玻尔智造CEO&CTO陈志忠告诉36氪。其中,光学方案就像一双眼睛,面对不同材质、曲率、反射率的检测对象,需要综合打光弧度、光源波长等要素,通过光源、镜头、相机的组合应用,实现被检物品的清晰成像。完整的光学方案,需要具备一次成像能力,拍摄速度要跟上产线生产速度,并考虑设备生产和成本核算的可行性。图源企业玻尔智造团队所积累的视觉检测经验,能够在面对不同材质、结构的被检品时,短时间内提出有效的光学整体方案。对于一般方案中的缺陷成像难点,如磨砂面轻微划伤、金属麻点差异成像等,玻尔智造亦有独家技术解决,能够得到清晰、经增强的缺陷图像。基于光学方案得到的清晰影像,玻尔智造检测设备进一步通过AI图像算法对缺陷进行识别。针对部分产品及结构的检测,玻尔智造已与行业龙头客户达成独家量产合作,进一步在实际生产线中积累一手且海量的被检品图像数据库。对于集成了光学方案与软件算法的检测设备整机,玻尔智造采用了模块化设计,包括机械平台、算法平台、光学模块及机械模块。整机设备可根据实际需求对模块进行组合,配合不同产品、厂商的检测要求,以单台设备兼容多款产品检测。部分外观缺陷检测整机设备展示,图源企业面对多面、多材质、多缺陷种类的检测对象,玻尔智造设备能够在2s内完成运动、成像、识别等系列操作,相比30s标准人工工时,时长缩短至1/15。目前,玻尔智造在某龙头客户电源产品检测领域的市占率居于首位,并将拓展更多材质与结构检测,适应更多检测对象的需求。同时,结合客户的全球化布局,玻尔也将进一步开拓印度、越南市场。未来,玻尔智造计划以消费电子行业为根基,依托在中国台湾既有的资源积累,进一步向半导体领域探索,现已能完成10微米级的陶瓷基板检测。玻尔智造新的研发及组装中心将落地上海、诸暨等。玻尔智造团队研发人员占比超60%,CEO&CTO陈志忠为台湾省台湾大学化学所物理化学博士,有20余年集成视觉技术经验,拥有个人发明专利40+,发表SCI 15+,曾作为核心成员带领某台企上市,并曾任某上市工业视觉企业核心研发负责人。团队创始成员曾任职行业内全球五百强企业,具备开拓视觉检测供应商经历,拥有较强先进制造落地经验。投资方观点本轮领投方浩澜资本主管合伙人王曦表示:消费电子行业的缺陷检测,随着某龙头客户体系全面用外观缺陷检测设备取代过去的人力检测,正面临巨大的市场机会。玻尔智造作为该龙头客户战略供应商之一,在巩固消费电子行业头部位置的同时,更向半导体领域进行开拓和探索。公司积累多种材质的海量图像数据库, 更为公司技术的迭代和建立壁垒打下基础。浩澜资本会坚定持续地运用我们在先进制造和半导体行业的产业资源和资本能力,支持坚定自主创新,扎实商业落地的“硬科技”企业进入健康发展的快车道。诸暨经开区作为智能视觉“万亩千亿”新产业平台,全力支持智能视觉产业链科创企业的创新和发展,通过一系列专项政策让人才留得住、让优质项目发展得好。
  • 绝缘电阻仪器体积电阻表面电阻测试仪使用前都要注意什么?
    绝缘电阻仪器体积电阻表面电阻测试仪使用前都要注意什么?绝缘电阻仪器体积电阻表面电阻测试仪使用前请仔细阅读以下内容,否则将造成仪器损坏或电击情况。1. ◇检查仪器后面板电压量程是否置于10V档,电流电阻量程是否置于104档。2. ◇接通电源调零,(注意此时主机不得与屏蔽箱线路连接)在“Rx”两端开路的情况下,调零使电流表的显示为0000。然后关机。3. ◇应在“Rx”两端开路时调零,一般一次调零后在测试过程中不需再调零。 4. ◇测体积电阻时测试按钮拨到Rv边,测表面电阻时测试按钮拨到Rs边,5. ◇将待测试样平铺在不保护电极正中央,然后用保护电极压住样品,再插入被保护电极(不保护电极、保护电极、被保护电极应同轴且确认电极之间无短路)。6. ◇电流电阻量程按钮从低档位逐渐拨,每拨一次停留1-2秒观察显示数字,当被测电阻大于仪器测量量程时,电阻表显示“1”,此时应继续将仪器拨到量程更高的位置。测量仪器有显示值时应停下,在1min的电化时间后测量电阻,当前的数字乘以档次即是被测电阻。7. ◇测试完毕先将量程拨至(104)档,然后将测量电压拨至10V档, 后将测试按钮拨到中央位置后关闭电源。然后进行下一次测试。8. ◇接好测试线,将测试线将主机与屏蔽箱连接好。量程置于104档,打开主机后面板电源开关按钮。从仪器后面板调电压按钮到所要求的测量电压。(比如:GBT 1692-2008 硫化橡胶 绝缘电阻率的测定 标准中注明要求在500V电压进行测定,那么电压就要升到500V)9. ◇禁止将“RX”两端短路,以免微电流放大器受大电流冲击。10. ◇不得在测试过程中不要随意改动测量电压。11. ◇测量时从低次档逐渐拨往高次档。12. ◇接通电源后,手指不能触及高压线的金属部分。13. ◇严禁在试测过程随意改变电压量程及在通电过程中打开主机。14. ◇在测量高阻时,应采用屏蔽盒将被测物体屏蔽。15. ◇不得测试过程中不能触摸微电流测试端。16. ◇严禁电流电阻量程未在104档及电压在10V档,更换试样。技术指标1、电阻测量范围 0.01×104Ω~1×1018Ω2、电流测量范围为 2×10-4A~1×10-16A3、仪器尺寸 285mm× 245mm× 120 mm4、内置测试电压 100V、250V、500V、1000V5、基本准确度 1% (*注)6、内置测试电压 100V、250、500、1000V7、质量 约2.5KG8、供电形式 AC 220V,50HZ,功耗约5W9、双表头显示 3.1/2位LED显示安全注意事项1. 使用前务必详阅此说明书,并遵照指示步骤,依次操作。2. 请勿使用非原厂提供之附件,以免发生危险。3. 进行测试时,本仪器测量端高压输出端上有直流高压输出,严禁人体接触 ,以免触电。4. 为避免测试棒本身绝缘泄漏造成误差,接仪器测量端输入的测试棒应尽可 能悬空,不与外界物体相碰。5. 当被测物绝缘电阻值高,且测量出现指针不稳现象时,可将仪器测量线屏 蔽端夹子接 上。 例如: 对电 缆测缆 芯与 缆壳的 绝缘 时,除 将被 测物两 端分 别接于 输入 端与高压 端, 再将电 缆壳 ,芯之 间的 内层绝 缘物 接仪器 “G”,以消 除因 表面漏 电而 引起的测 量误 差。也 可用 加屏蔽 盒的 方法, 即将 被测物 置于 金属屏 蔽盒 内,接 上测 量线。
  • 扫描白光干涉表面形貌测量技术及应用——第二届精密测量与先进制造网络会议报告推荐
    高附加值产品中元器件的表面形貌,包括几何形状和微观纹理,对于其公差、装配和功能至关重要。表面形貌对制造工艺的变化非常敏感,由不同工艺形成的表面复杂且多样。表面形貌会影响零件的摩擦学特性、磨损和使用寿命,例如航发叶片的表面会影响飞机的空气动力学性能和燃料使用效率。扫描白光干涉术(SWLI),也称为相干扫描干涉术(CSI),是用于测量材料表面形貌最精确的技术之一。作为一种光学测量手段,扫描白光干涉术先天具有高精度、快速、高数据密度和非接触式测量等优势,被广泛应用于精密光学、半导体、汽车及航天等先进制造与研究领域。扫描白光干涉仪光路结构与成像原理示意图扫描白光干涉术经过30多年发展,在制造和科研领域得到验证,成为表面形貌高精度测量技术的标杆,尤其在半导体、精密光学和消费电子等产业的推动下,其测量功能和性能得到了持续提升。以扫描白光干涉术为代表的光学测量技术,充分利用了光的波动属性以及干涉和全息成像的优势,以光的波长作为“尺子”,在先进的光学、电子和机械元器件的支撑下,将在先进制造与智能制造中充当越来越重要的角色。第二届精密测量技术与先进制造网络会议期间,两位专家将现场分享扫描白光干涉技术及其在半导体行业的典型应用。部分报告预告如下,点击报名  》》》中国科学院上海光学精密机械研究所研究员 苏榕《扫描白光干涉表面形貌测量技术:原理及应用》(点击报名)苏榕博士,研究员,博士生导师,中国科学院及上海市海外高层次人才引进。长期致力于超精密光学干涉成像与散射测量仪器与技术研究,聚焦基础理论、核心算法、校准技术、工业应用及相关国际标准制定。主持多项国家和省部级重点研发项目;发表论文40余篇,书籍章节2章,部分技术被国际顶尖仪器制造商采用。担任期刊《Light: Advanced Manufacturing》和《Nanomanufacturing and Metrology》编委及《激光与光电子学进展》青年编委,SPIE-Photonics Europe、EOSAM和ASPE技术委员会委员,全国产品几何技术规范标准化技术委员会委员,中国计量测试学会计量仪器专业委员会委员,中国仪器仪表学会显微分会委员。【报告摘要】扫描白光干涉术是目前最精确的表面形貌测量技术之一,被广泛应用于各种工业与科研领域。从发明至今的三十余年间,在精密光学、半导体、汽车及航天等先进制造领域的需求牵引下,该技术不断取得新的进展与突破。本报告将介绍白光干涉技术的原理与应用,以及近年来的技术创新。布鲁克(北京)科技有限公司应用经理 黄鹤《先进封装工艺中三维几何尺寸监控的挑战与布鲁克白光干涉技术的计量解决方案》(点击报名)黄鹤博士现任布鲁克公司纳米表面仪器部中国区应用经理。服务于工艺设备和测量仪器行业超过15年,尤其在半导体、数据存储和材料表面工程研究领域拥有丰富经验,是一名材料学博士。黄鹤博士先后在香港理工大学任助研;在应用材料公司任高级应用工程师,负责化学机械抛光工艺和缺陷检测应用;在维易科公司任应用科学家,负责白光干涉三维形貌技术推广与导入。【报告摘要】在半导体行业路线图对不断缩小晶体管几何尺寸的快速追求的推动下,PCB/HDI尤其载板制造商正在通过更薄的高密度互连,将多芯片模块(包含芯粒)借由基板上开发更小、更密集的功能。在大批量生产过程中,对于更细线宽的铜线(Line)、更小开口的孔洞(Via)和深沟槽(Trench)及层间对位偏差(Overlay)等三维几何尺寸的测量面临多种新的挑战。而具备计量功能的 ContourSP 大型面板高效测量系统专门设计用于在制造过程中测量载板面板的每一层,确保在生产过程中最短的工艺开发时间、最高的产量、最长的正常运行时间和最稳定的测量结果。此外,本报告也会简略介绍白光干涉技术在晶圆封装时再布线工艺(RDL)监控中的典型应用。更多详细日程如下:第二届精密测量与先进制造主题网络研讨会报告时间报告题目报告嘉宾单位职称12月14日上午09:00-09:30纳米级微区形态性能参数激光差动共焦多谱联用测量技术及仪器赵维谦北京理工大学 光电学院院长09:30-10:00扫描白光干涉表面形貌测量技术:原理及应用苏榕中国科学院上海光学精密机械研究所研究员10:00-10:30先进封装工艺中三维几何尺寸监控的挑战与布鲁克白光干涉技术的计量解决方案黄鹤布鲁克(北京)科技有限公司应用经理10:30-11:00激光干涉精密测量技术、仪器及应用谈宜东清华大学 精密仪器系系副主任/副教授11:00-11:30关节类坐标测量技术于连栋中国石油大学(华东)教授12月14日下午14:00-14:30基于相位辅助的复杂属性表面全场三维测量技术张宗华河北工业大学教授14:30-15:00短脉冲光频梳激光测距技术杨睿韬哈尔滨工业大学副研究员15:00-15:30机器人精密减速器及关节测试技术程慧明北京工业大学 博士研究生15:30-16:00纳米尺度精密计量技术与国家量值体系施玉书中国计量科学研究院纳米计量研究室主任/副研究员16:00-16:30尺寸测量,从检验走向控制与孪生李明上海大学教授为促进精密测量技术发展和应用,助力制造业高质量发展,仪器信息网联合哈尔滨工业大学精密仪器工程研究院,将于2023年12月14日举办第二届精密测量技术与先进制造网络会议,邀请业内资深专家及仪器企业技术专家分享主题报告,就制造中的精密测量技术等进行深入的交流探讨。报名页面:https://www.instrument.com.cn/webinar/meetings/precisionmes2023/
  • Talboys数显型加热板促销
    货 号: EPFO-984TA0CHPEUA 中文名称: Talboys数显型加热板 英文名称: Advanced Hotplates 型 号: 陶瓷面板:25.4× 25.4cm;温控范围:室温+5℃-500℃ 品 牌: Talboys 产品类别: 磁力加热板、搅拌器及加热搅拌器 尺 寸 长:45.5cm 宽:33.0cm 高:10.8cm 价 格: 4697.00 促销价: 2999.00 促销时间:8月1日至9月30日 上海安谱科学仪器有限公司 地址:上海市斜土路2897弄50号海文商务楼5层 [200030] 电话:86-21-54890099 传真:86-21-54248311 网址:www.anpel.com.cn 联系方式:shanpel@anpel.com.cn 技术支持:techservice@anpel.com.cn
  • Talboys数显型加热板 促销
    货 号: EPFO-984TA0CHPEUA 中文名称: Talboys数显型加热板 英文名称: Advanced Hotplates 型 号: 陶瓷面板:25.4× 25.4cm;温控范围:室温+5℃-500℃ 品 牌: Talboys 产品类别: 磁力加热板、搅拌器及加热搅拌器 尺 寸 长:45.5cm 宽:33.0cm 高:10.8cm 原价:4697.00 促销价:3053.00 促销时间:2011年10月31日-2011年11月30日 上海安谱科学仪器有限公司 地址:上海市斜土路2897弄50号海文商务楼5层 [200030] 电话:86-21-54890099 传真:86-21-54248311 网址:www.anpel.com.cn 联系方式:shanpel@anpel.com.cn 技术支持:techservice@anpel.com.cn
  • 地板业无醛概念频现 有待市场检验
    按照国家标准,甲醛释放量达到E1级别,即可认为是对人体安全的。   随着消费者对于居家环保的要求,不少地板品牌推出无醛产品。由于地板的污染主要来自于生产和安装过程中使用的黏合剂,部分企业将大豆、秸秆等天然材料制作成生态黏合剂,希望达到甲醛释放接近于零的目的。业内人士认为,尽管技术上确实可以实现,但目前对于“无醛级”产品并没有确定的标准,消费者只能通过检测报告来判断产品的环保性能。   生物环保概念频出   近日,德尔推出无甲醛环保地板,以可再生资源大豆豆粕为原料制作出大豆蛋白胶,做到不含甲醛、苯酚等有害物质,黏结性和稳定性都达到最佳效果,且没有废料排放问题。   除了德尔外,一些地板品牌也曾做出过“无醛”尝试。2004年,德华兔宝宝装饰新材股份有限公司利用非醛类生物质原料研制出“人造板用无醛级胶粘剂”、“无醛级薄木装饰贴面板”,并称达到无醛级标准,超过美国CARB级和日本F四星级要求 2010年,万华生态板业股份有限公司推出万华禾香板,称应用MDI生态黏合剂,以农作物秸秆为主要原料,甲醛释放量低于欧洲E0级环保标准。随后,金泉森地板的大豆生物胶、生活家地板的肽能系列产品均以“无醛”为卖点推向消费者,并强调达到了国家标准、获得国际认证。   德尔国际家居股份有限公司董事兼副总经理姚红鹏告诉记者,地板中的甲醛主要产生于生产和安装过程中使用的有醛胶,此次德尔的无醛添加地板,用纯天然大豆蛋白胶取代了传统的有醛胶,它以可再生资源大豆豆粕为原料,完全是天然材料。   中国林产工业协会地板专业委员会副秘书长方崇荣认为,生物胶要达到完全没有甲醛,从理论和技术上都能够做到。但各企业的研发能力不一样,有的企业的确是在做研究,有的可能为了宣传才制造这样的噱头吸引消费者。   “无醛”标准正在制定   中国木材与木制品流通协会地板委员会副秘书长胡会军表示,国家对于地板只有生产标准,符合标准的就发“生产许可证”。据方崇荣介绍,各类地板有不同的生产标准,比如实木地板是GB15036-2009,实木复合是GB/T18103-2000,强化地板则是GB18102-2007。然而这些国家标准都只是对市场准入进行限制,可以说是行业最低标准。   地板的环保问题多是针对复合地板成品而言,所执行的国家标准是GB18580-2001《室内装饰装修材料人造板及其制品中甲醛释放限量标准》,即要求甲醛释放量达到E1级别,每升小于或等于1.5毫克,符合该标准的产品即可认为是对人体安全的。   胡会军称,尽管各企业都表示自己的产品是无醛产品,也并不代表产品中没有一点甲醛释放,只是通过现有技术难以检测出来。消费者在市场上看到的各类认证,包括日本F四星级、美CARB级等,也难以判断。越来越多的企业引用国外认证来说明自己的产品,也是因为国家标准的E1级并不足以清晰地体现出产品的环保程度。   胡会军表示,目前国家对于“无醛级”的相关标准也正在制定当中。目前在国内,惟一由政府颁布的权威环保产品标志是由国家环保总局授权的“十环”认证,目前获得此认证的企业并不多。   ■ 业内声音   “井密”结构适用地暖家庭   ●姚红鹏,德尔国际家居股份有限公司董事兼副总经理   以前,木地板都是一片一片的胶合板粘起来的,容易热胀冷缩。现在我们的地板是一种“井密”结构,将竹子与木头结合起来,大大提高地板的稳定性。竹子具有韧性,利用竹子的韧性巧妙地调节木材因为湿度和温度而发生的变化,具有很好的稳定性。北方地热取暖较多,所以地板有两个要求:一个是环保,二就是稳定性,无醛添加地板再加上这个结构正好满足要求。   新事物被接受需要过程   ●吴洪涛,安信伟光(上海)木材有限公司北京分公司市场部经理   任何一个新鲜事物被接受都需要一个过程。现在很多品牌,包括一些一线品牌都在提一些生物技术所达到的无醛环保概念,感觉可能会对整个地板行业尤其是复合板的生产带来新的冲击。但新事物也需要市场的检验,有可能产品本身无醛,却含有其他的污染物 另外无醛产品价格上升,消费者接受可能也需要一段时间。   ■ 专家说法   不必片面追求无醛   ●方崇荣,中国林产工业协会地板专业委员会副秘书长   客观上讲,选择环保等级更高的产品当然是好的,但是居住空间不光是地面材料,室内环境污染也不是地板来决定的,还有家具所使用的板材、木工制品等。这些都会有不安全的因素,应该综合考虑。目前地板在行业整体的环保水平当中,已经是比较好的。总体上,甲醛释放量都符合国家强制的标准GB18580,达到E1级水平。我们不希望企业过分宣传无醛产品就是环保的,即使没有甲醛,室内也可能存在其他污染物。   无醛产品只是低醛   ●胡会军,中国木材与木制品流通协会地板委员会副秘书长   无醛产品并不是完全不含甲醛。天然木材本身也含有甲醛,只是因为天然的对人体无害,与人造板所说的甲醛不是一个概念。大部分企业所说的无醛,实际上就跟纯实木地板一样,从基材、辅料到生产,都是天然的、生态的。所检测的甲醛含量特别低,但是低到什么程度,目前没有一个确切数据。
  • 智能手机上的表面力学
    如今“一部手机走天下”,已成为现实,智能手机的出现改变了我们的生活。它使我们原来许多物品逐步变得可有可无,渐渐成为我们生活中的伴侣。从1992年第一部智能手机的出现,到如今,手机已生重大革命;从触摸屏取代小键盘,再到大触摸屏手机的出现,彻底改变了手机行业。OLED智能手机显示屏的结构智能手机必须能够很好地抵抗使用过程中产生的外界应力。每次用户操作手机时,手机都会受到震动或刮擦,例如从口袋或袋子中取出手机或把他放在桌子上时。智能手机制造商正在努力实现显示屏、框架以及智能手机外壳的最佳耐刮性。人们使用各种方法来量化耐划伤性能——最合适的两种方法是划痕测试和纳米压痕测试。本应用报告将展示这两种方法在智能手机显示屏抗划擦性和能硬度表征中的应用。纳米压痕和纳米划痕测试纳米压痕测试是一种可以测量薄膜和小体积材料的硬度、弹性模量、蠕变和附着力的方法。用预先定义的载荷将金刚石棱锥压头压入被测材料表面,并记录压入深度。硬度、弹性模量和其他性能是使用ISO14577 标准通过载荷-位移曲线获得的。划痕试验是一种表征涂层附着力和耐划痕性的方法。划痕试验通常使用球形金刚石压头进行,该压头在载荷增加的情况下“划痕”涂层表面,从而产生涂层分层。临界载荷对应于分层或其他类型的粘合剂开始损伤时的载荷,并作为量化表面层或材料的附着力或耐刮擦性的方法。纳米划痕测试仪纳米压痕测试仪1划痕测试保护玻璃耐划性能测试智能手机显示屏的保护玻璃通常由Gorilla玻璃制成,它是一种铝硅酸盐玻璃,并通过浸泡在高温钾盐离子交换槽中进行增韧,防止裂纹扩展和阻止缺陷生成。Gorilla玻璃具有极高的硬度和耐刮擦性,重量轻,光学性能优异。然而,即使如此坚硬且耐划伤的玻璃也可能被划伤,因此有一项正在进行的研究旨在通过表面沉积保护陶瓷层进一步提高其耐划伤性。由于陶瓷层非常薄(~100nm),最适合表征耐划伤性的仪器是安东帕尔纳米划痕测试仪(NST3)。下图显示了在100 nm氧化铝(Al2O3)保护层的Gorilla玻璃上,使用半径为2μm的球形针尖进行高达50 mN的渐进加载试验的结果。氧化铝沉积层的典型破坏形态如图1所示。图1: 在光学显微镜下观察到的划痕后典型失效形貌图2通过临界载荷值(Lc1)下划痕深度(Pd)、残余深度(Rd)和摩擦系数(CoF)的突然变化,对失效进行了显微镜观察,得到关于氧化铝层抗划伤性的重要信息:临界载荷(Lc)越高,抗划伤性越好。图2:划痕实验过程中记录的信号智能手机屏幕上的浅划痕的自修复(恢复)智能手机显示屏上的大多数划痕都很深,肉眼可见(图3)。如果用户希望再次获得平滑的显示,通常必须更换前面板。为了验证清除过程是否有效,并确定可以修复的最大划痕深度,我们在恒定载荷下创建了几个系列的划痕。每一系列划痕都是在不同的载荷下进行的,以获得不同的划痕深度,并且可以评估恢复过程的可靠性。由于必须产生非常浅的划痕,NST3用于创建划痕。图3: 智能手机屏幕上的划痕除了产生可控划痕外,由于扫描后功能,纳米划痕测试仪 (NST3)还可以用作轮廓仪。测量受损智能手机屏幕的表面轮廓,从而评估已存在的划痕深度。测量设置的典型示例如图4所示。在划痕轮廓采集结束时,可以从划痕软件 导出数据,并直接由合适的分析软件(如TalyMap Gold)处 理,以确定预先存在的划痕深度(图5)。根据结果,制造商可以决定是否可以翻新智能手机屏幕。图 4: 使用NST3测量智能手机屏幕的表面轮廓图5: TalyMap软件分析预先存在的划痕的表面轮廓,以确定划痕深度(0.26μm)显示屏塑料/金属外壳的耐刮擦性位于智能手机显示屏旁边的显示屏框架上的油漆容易被划伤,尤其是边缘(图6)。因此,制造商希望提高显示屏框架上油漆的耐刮擦性和附着力。图6: 智能手机外壳上的磨损在这个案例研究中,比较手机外壳上两种不同薄膜的耐刮擦性能和附着力。薄膜的厚度约为30um,对此类薄膜进行划痕测试的最合适的仪器是Rvetest(RST3)或Micro CombiTester(MCT3),他们施加载荷最高达200N(RST3)30N(MCT3),最大划痕深度1mm,使用半径为200um的球形压头和渐进力载荷模式进行划痕1试验,划痕的全景成像如图7所示。图7:两种油漆划痕全景成像涂层1号和2号样品进行比较,2号的分层发生在较低的载荷且损坏也比较严重,2号的耐刮擦性能也不如1。因此,1应能抵抗较长时间的刮擦,其使用应优先于抗刮擦性较差的2。2纳米压痕测试玻璃体上有机薄膜的硬度和弹性模量智能手机显示屏的一个重要组成部分是有机薄膜,有机薄膜已经在OLED显示器中得到广泛应用。它们代表了智能手机显示屏市场的很大一部分,而且在灵活性方面具有的巨大优势,可以开发可折叠手机。有机薄膜的硬度和弹性模量等力学性能非常重要,因为它们表明了薄膜的质量,可以用来预测耐久性。有机电致发光(OLED)层的厚度在100纳米到500纳米之间,其力学性能的测量需要非常灵敏的仪器。安东帕尔超纳米压痕测试仪(UNHT3)具有合适的载荷和位移分辨率,可以可靠地测试这样的薄膜。图8显示了沉积在玻璃基板上的七种OLED薄膜的典型测量结果,每层的厚度约为100nm,最大压入深度控制在10nm。图8: 七种OLED薄膜典型载荷-位移曲线在每个样品上进行了五次最大载荷为300μN的压痕实验, 压痕载荷-位移曲线获得的每个样品的硬度和弹性模量 (图9)所示:弹性模量在33 GPa到55 GPa之间变化,硬度在280 MPa到400 MPa之间变化,标准偏差约为5%, 这证实了各层的均匀性良好,并允许安全区分各。A、B 和D层的硬度最高,C和F层的硬度最低。结果表明,UNHT3 可以用于非常薄的层的机械性能的可靠表征,从而有助于开发新的OLED层。图9: 七个OLED薄膜的硬度和弹性模量光学透明粘合剂(OCA)的机械性能光学透明粘合剂(OCA)是一种薄的粘合薄膜。例如:在智能手机行业中用于将显示器的不同组件之间连接。不仅这些薄膜的粘合性能很重要,而且它们的力学性能也很重要,因为它们决定了OCA的使用方式。安东帕尔生物压痕测试仪已用于测量此类粘合剂。生物压痕仪可以测量粘附力,还可以获得薄膜的刚度(弹性模量)和其与时间相关的特性(蠕变)。保证薄膜牢固地粘附着在基体上,以避免薄膜弯曲,这一点至关重要。在这个案例研究中,我们对三种不同的胶进行了表征:一种柔软的(a),弹性模量(E)约为0.35 MPa,两种较硬的(B,C),弹性模量约为208 MPa和约80 MPa,其中最大压入深度均控制在薄膜厚度的15%左右。图10:生物压痕仪用于测量附着在玻片上的OCA薄膜这些实验使用了半径为500μm的球形针尖,对于较薄的薄膜,建议使用半径较小的针尖,以避免基底的影响。最大压入载荷为0.5mN,最大压入深度在1μm和16μm之间变化,最大载荷下的保持时间为30秒。图11显示三种OCA薄膜的三种压痕曲线的比较,在针尖接近样品表面时,记录了粘附力。尽管在每个样品的不同区域进行了测量,但测量结果显示出良好的重复性。这表明,尽管粘合性能取决于两个接触部件的表面状态,但由于一个样品上的粘合力和所有压痕曲线非常相似,因此达到了稳定状态。图11:三种不同弹性模量OCA薄膜(A、B、C)的压痕曲线对比。4纳米压痕测试划痕测试和纳米压痕测试是智能手机显示屏的重要测试方 法,因为它们可以模拟现实生活中的情况,如冲击或硬物划伤。划痕测试适用于研究保护智能手机显示屏的覆盖玻璃的耐划痕性。该方法也有助于表征薄膜显示框上的附着力,从而选择附着力最佳的粘合剂。最后,该技术还可用于测量屏幕上预先存在的划痕的最大深度,评估其是否可以翻新。纳米压痕测试用于测量沉积在显示器玻璃上的功能薄膜的硬度和弹性模量。力学性能反映了新型显示器开发过程中 薄膜的质量。此外,纳米压痕法允许测定用于安装智能手机屏幕的光学透明粘合剂(OCA)薄膜的粘弹性和力学性。安东帕中国总部销售热线:+86 4008202259售后热线:+86 4008203230官网:www.anton-paar.cn在线商城:shop.anton-paar.cn
  • 飞秒激光在ITO薄膜表面诱导周期性透明纳米导线
    使用线偏激光照射金属、半导体、透明介质等材料产生表面周期结构(laser induced periodic surface structures,LIPSS)是一种普遍的现象,LIPSS的周期取决于激光条件和材料的性质,在接近入射激光波长到小于波长的十分之一范围变化。这些周期性纳米结构可用于有效地改变材料的性质,并在表面着色、光电特性调控、双折射和表面润湿性等方面有许多应用。氧化铟锡(indium tin oxide,ITO)具有较宽的带隙,对可见光与近红外波段有很高的透射率,ITO薄膜具有较低的电阻率,是液晶面板、新型太阳能电池等元件的重要组成部分。一直以来,发展制备ITO薄膜的新方法,调控ITO薄膜的光电特性是非常重要的研究课题,而在激光加工领域,使用激光在ITO薄膜诱导LIPSS是一个有效且简便的方法。华东师范大学精密光谱科学与技术国家重点实验室贾天卿教授课题组探究了一种通过飞秒激光直写在ITO薄膜表面加工LIPSS的方法,并详细分析了不同激光参数下加工的ITO薄膜在可见到红外光波段的透射率与其各向异性电导率的变化规律。合适的激光参数可以在ITO薄膜上有效地加工大面积低空间频率的LIPSS,这些LIPSS能够表现出独立纳米导线的特性,并且在电学特性上具有良好的一致性。结果表明,飞秒激光直写过程中并不会改变材料的性质,而且与原始的ITO薄膜相比,具有规则LIPSS的ITO薄膜在红外波段的平均透射率提高了197%。这对于将ITO薄膜表面加工规则的LIPSS作为透明电极应用于近红外波段的光电器件具有重要的意义。如图1,原始ITO薄膜的面电阻各向同性。随着激光能流密度的增加,垂直和水平于LIPSS方向的面电阻迅速增加且变化梯度不同,出现了明显的各向异性导电性,当ITO薄膜表面出现规则且独立的LIPSS结构以后,在一定能流密度范围,ITO薄膜能够在不同方向上显现出单向导电/绝缘的电学特性。图1 扫描速度为3 mm/s时,不同能流密度激光辐照后ITO薄膜的面电阻。图中给出了电学测量中横向(Transverse)与纵向(Longitudinal)的定义通过调节激光的能流密度,可以在一个较大的范围内制备出不同形貌的纳米导线(LIPSS)。图2(a)展示了不同能流密度的飞秒激光加工的纳米导线扫描电镜图像。在能流密度上升的过程中,纳米导线的宽度从537 nm降低到271 nm。纳米导线的高度从平均220 nm降低到142 nm,如图2(b)所示。纳米导线的单位电阻随着能流密度的上升从15 kΩ/mm上升到73 kΩ/mm,这是由于纳米导线的宽度与高度都在同步下降造成的,如图2(c)。图 2 (a)不同能流密度下的纳米导线的扫描电镜图像;(b)纳米导线的高度与宽度随着能流密度的变化情况;(c)纳米导线的单位电阻与电阻率随着能流密度的变化情况如图3,原始厚度为185 nm的ITO薄膜在1200~2000 nm的近红外光谱范围内的平均透射率为21.31%。经过飞秒激光直写后,当能流密度在0.510~ 0.637 J/cm2的范围内,ITO薄膜对于近红外的透过率达到54.48%~63.38%,相较原始的ITO薄膜得到了156%~197%的提高。同时,飞秒激光直写后的ITO薄膜在可见光波段的透过率略微提高且曲线较为平滑。通过调节激光的能流密度,ITO薄膜在近红外的透过率能够得到显著提高,并且能够保持较好的导电性。图 3 扫描速度为3 mm/s时,不同能流密度激光直写后的ITO薄膜的透射率。在0.637 J/cm2时红外波段(1200~2000 nm)透过率为63.38%该工作近期以“Periodic transparent nanowires in ITO film fabricated via femtosecond laser direct writing”为题发表在Opto-Electronic Science (光电科学)。
  • FPC柔性线路板的表面润湿性能测量
    接触角测量是一种常用的表面性质测试方法,用于评估材料的润湿性和表面能。FPC是一种柔性印刷电路板,通常用于电子设备中。很多客户需要对FPC进行接触角测量解决方案,根据实际情况一般需要做好如下准备:样品准备:将FPC样品剪裁成适当的尺寸,并确保表面是干净的,没有灰尘、污渍或油脂。测量前处理:在进行测量之前,对FPC进行一些表面处理,以确保水滴能够均匀地润湿样品表面。例如,通过等离子体处理、清洁剂或特殊涂层。测量过程:将FPC样品放置在测试仪器上,并使用液滴产生器在样品表面滴下一滴液体(通常是水)。确保液滴的大小和滴落速度是一致的。图像采集与分析:使用仪器上的摄像头拍摄液滴在样品表面的图像。然后,使用图像处理软件测量液滴的接触角。接触角是液滴与样品表面之间形成的角度,可以反映样品的润湿性。结果解读:根据测量结果,您可以评估FPC样品的润湿性能。较小的接触角表示较好的润湿性,而较大的接触角表示较差的润湿性。测试结果帮助客户实际了解材料表面的润湿性能,从而进行粘合处理。 需要注意的是,接触角测量结果可能会受到环境条件(例如温度和湿度)以及样品表面处理的影响。因此,在进行比较或评估时,应确保测试条件的一致性。接触角测量仪帮助我们评估液体在FPC柔性线路板表面的润湿性能,这对于柔性线路板的性能和可靠性非常重要。当液体与固体表面接触时,会形成一个接触角,该角度测量了液体在固体表面上的润湿性。接触角测量仪可以通过测量液滴与FPC柔性线路板表面之间的接触角来确定液体在其表面上的润湿性能。
  • 雷磁发布上海雷磁实验室ph计(数显酸度计)PHSJ-3F新品
    别名:数显酸度计【主要特点】●大屏幕点阵式液晶显示,直观清晰、内容全面;● 采用新型材料PC面板,轻触数字式按键,可靠耐用;;● 3种读数模式:Smart-Read:“快、中、严,自定义”多种平衡条件可选;Timed-Read:定时终止测量和定时自动间隔测量2种定时读数模式可选;Cont- Read功能,连续测量(支持间隔连续测量);● 支持电极性能提醒功能和电极标定提醒功能;● 支持自动温度补偿,自动识别GB、DIN、NIST等23种缓冲溶液,支持1-3点校准;● 支持存贮500套测量数据,符合GLP规范,支持多种数据查阅方式,支持数据删除和打印;● 支持USB连接PC、串口打印机;允许打印输出测量结果;● 具有断电保护功能,断电后数据不会丢失;● 支持固件升级。【技术参数】 型号技术参数PHSJ-3F仪器级别0.01级测量参数pH值、mV(ORP)、温度值测量范围pH(-2.00~20.00)pHmV(-1999~1999)mV温度(-5.0~135.0)℃分辨率pH0.01pHmV1mV温度0.1℃基本误差pH±0.01pHmV±0.1%FS温度±0.3℃稳定性(±0.01pH±1个字)/3h电源直流通用电源(9V DC,800mA,内正外负)尺寸(mm),重量(kg)280×215×92,1创新点:性价比高,厂牌知名度高。 上海雷磁实验室ph计(数显酸度计)PHSJ-3F
  • 热烈祝贺美国麦克仪器公司全自动多站比表面积和孔隙度分析仪获奖
    2010年4月9日,在由中国仪器仪表行业协会、中国仪器仪表学会分析仪器分会、仪器信息网(www.instrument.com.cn)联合主办,中国分析测试协会协办的2010年中国科学仪器发展年会(ACCSI 2010)上,我公司的全自动多站比表面积和孔隙度分析仪荣获&ldquo 2009科学仪器优秀新产品&rdquo 奖。 我公司的TriStarII 3020是一款公司新近推出的仪器,自面世以来被广泛应用于各种研究领域,有着庞大的用户群体,在用户群中好评颇多,被认为是比表面积和孔隙度分析仪类产品中的标准性仪器。 在本次年会中,该仪器更是得到了评委和用户的一致好评和认可,从一同参展的众多同类产品中脱颖而出,得到了唯一一个比表面积类仪器的科学仪器优秀新产品奖。 TriStarII 3020是TRISTAR3000全面升级后的完全自动化、三个分析站和六个脱气站的比表面积和孔隙度分析仪,以合理的成本提供高品质的数据。 作为新一代全自动比表面积和孔隙度分析仪, TriStarII 3020借助于气体吸附原理(典型为氮气),可进行等温吸附和脱附分析,用于确定比表面积,微孔孔体积和孔面积,中孔体积和面积,总孔体积等。仪器配置了液氮液面保持装置---液氮等温夹,以确保整个分析过程中等温夹套以下的温度恒定,可同时进行三个样品的分析,满足测试量大的用户,每个分析站都配有独立的传感器,保证三个分析站分析的同时进行。大容量杜瓦瓶,结合专利的液氮等温夹,保证至少60小时无人介入操作,最大无上限的连续分析。同时仪器软件也包含了目前所有的数据处理方法,方便用户使用。仪器面板无任何手动按键,所有的操作程序均由计算机来控制选配的多种脱气站(样品制备),用户可根据实际情况选择。 (下图为TriStarII 3020) 如需了解更多资料,请登陆美国麦克公司中国区网站www.mic-instrument.com.cn或致电中国区各办事处 美国麦克仪器公司中国区总部 地址:北京市海淀区紫竹院路31号华澳中心嘉慧苑1025,100089 电话/传真:010-68489371,68489372 上海办事处: 地址:上海市静安区新闸路831号丽都新贵15M,200041 电话:021-62179208 传真:021-62179180 广州办事处: 地址:广州市天河区中山大道华景路华晖街四号沁馥佳苑B3-1301,510630 电话:020-85560307 传真:020-85560317 西安办事处: 地址:西安市莲湖区北大街一号宏府嘉会广场B座7017室,710002 电话/传真:029-87408879
  • 磁场驱动微板阵列表面实现定向输运
    设计并驱动微纳米结构表面实现物体的定向输运在微电子、生物医药及防污自清洁等领域具有广泛的应用前景。在这些应用领域中,提高定向输运的速度能进一步提高输运效率。此外,通过对微结构和驱动方式的创新性设计,实现对多种不同形状的物体在不同环境中的定向输运也具有重要意义。近日,北京理工大学先进结构技术研究院陈少华教授课题组提出了一种通过磁场控制微结构表面快速输运固体物块的方法。该方法能够对厘米级的固体物块进行快速定向输运,其输运速率相对于已有文献中的输运速率有大幅度的提升。微结构表面主要由磁响应微板阵列结构和纯PDMS基底组成,单个微板高度为950微米,厚度为150微米。该研究结合微尺度3D打印技术制备实验样件,所使用的3D打印设备(nanoArch S140,摩方精密)的光学精度为10μm,能实现94×52×45mm大小的三维加工尺寸。基于该设备加工了板状微结构阵列,并通过倒模制备出含有磁颗粒的PDMS微结构试样,然后通过磁场控制微结构的变形储能以及能量的快速释放,实现定向输运的功能。该成果以“Directional Transportation on Microplate-Arrayed Surfaces Driven via a Magnetic Field”为题发表于国际期刊ACS Applied Materials & Interfaces上。该工作由北京理工大学先进结构技术研究院李程浩博士作为第一作者完成。图1.微结构制备及实验装置示意图图2.固体物块定向输运及驱动过程分析图3.通过磁场控制微结构表面实现不同形状物体的定向输运,及不同重量物体的筛选分离(空气环境和水下) 该研究提出了一种通过磁场控制微结构表面快速输运固体物块的方法,并揭示了输运机理:通过磁场控制微结构变形储存弹性能,然后通过控制微结构逐个回弹,使得储存在微结构中的弹性能依次快速释放,并驱动物体连续向前运动,以此实现固体物块的快速定向输运。此方法具有广泛的适用性,能够在空气和水环境中同时输运不同形状的物块,且能够较好控制输运速度,对于更加智能甚至编程化的定向输运技术具有重要意义。官网:https://www.bmftec.cn/links/10
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制