当前位置: 仪器信息网 > 行业主题 > >

光刻设备

仪器信息网光刻设备专题为您整合光刻设备相关的最新文章,在光刻设备专题,您不仅可以免费浏览光刻设备的资讯, 同时您还可以浏览光刻设备的相关资料、解决方案,参与社区光刻设备话题讨论。

光刻设备相关的资讯

  • 某国产光刻设备商公开和授权一批光刻技术专利
    近日, 合肥芯碁微电子装备股份有限公司公开和授权一批光刻技术专利。 据了解,合肥芯碁微电子装备股份有限公司(简称:芯碁微装),成立于2015年6月,注册资本12080万元,坐落于合肥市高新区集成电路产业基地,公司专业从事以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产。主要产品及服务包括PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他激光直接成像设备。以下为专利详情:发明名称专利类型法律状态申请号申请日公开(公告)号公开 (公告)日期一种激光直接成像设备对准相机位置关系误差的测量方法发明授权授权CN201910534115.12019-06-20CN110275399B2021-05-07一种激光直写光刻机长辊式压板机构发明公布公开CN202011626210.32020-12-30CN112764322A2021-05-07镜头畸变补偿方法、存储介质以及直写式光刻机发明公布公开CN202011635539.62020-12-31CN112748644A2021-05-04吸盘组件和具有其的光刻机实用新型授权CN202022035008.52020-09-15CN213069472U2021-04-27成像装置和光刻机实用新型授权CN202021586260.92020-08-03CN213069471U2021-04-27一种用于直写光刻机的电机串并联系统实用新型授权CN202022234271.72020-10-09CN213069473U2021-04-27《一种激光直接成像设备对准相机位置关系误差的测量方法》公开了一种激光直接成像设备对准相机位置关系误差的测量方法,包括建立激光直接成像设备基础台面的直角坐标系;在基础台面上放置尺寸标定板,该尺寸标定板布置有至少三个MARK点,其中有三个MARK点构成的直角三角形;利用左对准相机和右对准相机测量构成直角三角形的MARK点的中心坐标;以左对准相机或右对准相机为基准相机,利用所测MARK点的中心坐标计算两对准相机的位置关系误差。本发明解决了两相机距离较远无法标定位置关系的问题。《成像装置和光刻机》公开了一种成像装置和光刻机,成像装置包括:镜筒、光学组件和运动转换件,镜筒内形成有安装腔,镜筒形成有轴向延伸的限位槽,限位槽径向贯穿镜筒的壁,光学组件设置于安装腔内,光学组件外侧设置有移动件,移动件穿设限位槽,以实现光学组件轴向移动,运动转换件可转动地套设在镜筒的外侧且与移动件相配合,以在运动转换件相对镜筒转动时驱动移动件在限位槽内轴向移动。使用该运动转换件可以将光学组件的旋转和上下两个方向的运动分开,通过运动转换件的旋转推动移动件,从而可以带动光学组件实现上下移动,这样避免了传统的直接使用螺纹旋转上下调节给成像装置的成像质量带来的各种不良影响。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级。光刻技术成为一种精密的微细加工技术。基于此,仪器信息网拟于2021年5月14日举办“半导体光刻技术与应用主题网络研讨会”,依托“网络讲堂”栏目,邀请业内专家以及厂商技术人员参与本次网络研讨会,就半导体光刻技术等话题共同探讨,为广大从事半导体光刻设备和技术研发的专家学者和技术人员提供一个交流的空间。(点击图片免费报名参会)
  • 各大学5亿元光刻设备采购意向汇总
    近日,科学仪器行业迎来了前所未有的利好消息。2022年9月13日,国务院常务会议决定对部分领域设备更新改造贷款阶段性财政贴息和加大社会服务业信贷支持,政策面向高校、职业院校、医院、中小微企业等九大领域的设备购置和更新改造。贷款总体规模预估为1.7万亿元。 2022年9月28日,财政部、发改委、人民银行、审计署、银保监会五部门联合下发《关于加快部分领域设备更新改造贷款财政贴息工作的通知》(财金〔2022〕99号),对2022年12月31日前新增的10个领域设备更新改造贷款贴息2.5个百分点,期限2年,额度2000亿元以上。因此今年第四季度内更新改造设备的贷款主体实际贷款成本不高于0.7%(加上此前中央财政贴息2.5个百分点)。这两大重磅政策提供极低利息的贷款给消费端提前进行设备购置和更新改造,推动我国仪器市场迎来新一波仪器采购大潮。仪器信息网注意到,10月份以来,各大高校发布了众多半导体设备采购意向。仪器信息网特汇总统计了光刻设备相关的采购意向,含激光直写设备和电子束曝光机,总预算超5亿元。光刻设备相关的采购意向汇总序号项目名称预算金额(万元)采购单位发布时间预计采购时间查看1电子束光刻机3000清华大学2022/10/7 14:09Nov-22意向原文2飞秒激光三维直写系统400清华大学2022/10/7 14:09Nov-22意向原文3激光直写设备600清华大学2022/10/7 14:09Nov-22意向原文4接触式光刻机700清华大学2022/10/7 14:09Nov-22意向原文5步进式光刻机3000清华大学2022/10/8 8:29Nov-22意向原文6自旋科技研究院购置电子束曝光系统项目498华南理工大学2022/10/8 16:35Nov-22意向原文7聚焦离子束-电子束曝光系统(FIB-EBL)820哈尔滨工程大学2022/10/9 17:08Nov-22意向原文8集成电路学院激光直写光刻机采购452.9中山大学2022/10/10 15:57Nov-22意向原文9集成电路学院接触式光刻机(微米级)采购357.7中山大学2022/10/10 15:57Nov-22意向原文10电子束光刻系统1200华南理工大学2022/10/10 17:23Nov-22意向原文11化学化工学院双光子三维激光直写系统设备采购项目400兰州大学2022/10/10 21:24Nov-22意向原文12电子束光刻系统1200华南理工大学2022/10/12 8:40Nov-22意向原文13电子与信息工程学院步进式光刻机采购项目4300中山大学2022/10/13 10:55Nov-22意向原文14电子与信息工程学院接触式曝光机采购项目330中山大学2022/10/13 10:55Nov-22意向原文15电子与信息工程学院无掩模板紫外光刻机采购项目216中山大学2022/10/13 10:55Nov-22意向原文16电子与信息工程学院无掩膜激光直写曝光机采购项目500中山大学2022/10/13 10:55Nov-22意向原文17中国药科大学微纳米光刻机(精密对位曝光系统)项目230中国药科大学2022/10/13 14:28Nov-22意向原文18光刻机3465北京化工大学2022/10/13 15:52Nov-22意向原文19微立体光刻精密加工系统260吉林大学2022/10/13 17:47Nov-22意向原文20材料学院紫外光刻机采购项目180中山大学2022/10/13 22:58Nov-22意向原文21扫描电子显微镜FEI Quanta450-电子束曝光升级模块147.6中山大学2022/10/13 22:58Nov-22意向原文22超高分辨率的电子束光刻(EBL)采购项目1600中山大学2022/10/14 9:01Nov-22意向原文23激光直写式光刻机160吉林大学2022/10/14 11:51Nov-22意向原文24激光无掩膜光刻系统200中山大学2022/10/14 16:27Dec-22意向原文25物理科学与技术学院紫外光刻机45兰州大学2022/10/14 16:51Nov-22意向原文26物理学院/部门+光刻机采购项目23兰州大学2022/10/14 16:51Nov-22意向原文27紫外掩膜曝光光刻机采购200中山大学2022/10/14 19:14Nov-22意向原文28分析测试中心无掩模激光直写系统采购项目460北京理工大学2022/10/17 13:59Dec-22意向原文29电子束光刻系统1200华南理工大学2022/10/18 8:25Nov-22意向原文30光刻机380华中科技大学2022/10/18 17:33Nov-22意向原文31无掩膜光刻200华中科技大学2022/10/18 17:33Nov-22意向原文32掩膜对准光刻机380华中科技大学2022/10/18 17:33Nov-22意向原文33电子束光刻机500山东大学2022/10/18 22:01Nov-22意向原文34分子束外延(MBE)系统真空电子束曝光(EBL)系统采购1800华南理工大学2022/10/19 8:23Nov-22意向原文35聚焦电子束光刻系统640东北师范大学2022/10/20 11:15Nov-22意向原文368寸光刻机600东南大学2022/10/20 16:00Nov-22意向原文37激光直写曝光系统450浙江大学2022/10/25 14:17Nov-22意向原文38DUV塔尔博特光刻680同济大学2022/10/25 20:43Dec-22意向原文39电子束光刻机3500同济大学2022/10/25 20:43Dec-22意向原文40激光直写设备750同济大学2022/10/25 20:43Dec-22意向原文41双面对准光刻机450同济大学2022/10/25 20:43Dec-22意向原文42光刻机420华中科技大学2022/10/28 14:30Dec-22意向原文43掩膜对准光刻机420华中科技大学2022/10/28 14:30Dec-22意向原文44电子束曝光系统297北京大学2022/10/28 15:04Dec-22意向原文45高分辨掩膜光刻机采购390西南大学2022/11/1 20:27Dec-22意向原文46中国科学院大学集成电路学院计算光刻软件采购项目140中国科学院大学2022/11/2 16:21Nov-22意向原文47超高精密微立体光刻加工系统279厦门大学2022/11/2 17:01Dec-22意向原文48双光子灰度对准光刻机690天津大学2022/11/3 13:24Dec-22意向原文49无掩膜光刻机320天津大学2022/11/3 13:24Dec-22意向原文50电子束曝光机维保160中国科学院微电子研究所2022/11/3 19:55Nov-22意向原文51光刻机360吉林大学2022/11/4 8:26Dec-22意向原文52物理学院原位光谱激光直写加工系统600北京航空航天大学2022/11/5 12:57Dec-22意向原文53高精度电子束曝光机870北京师范大学2022/11/7 18:55Dec-22意向原文54集成电路学院电子束曝光机采购1400中山大学2022/11/9 15:51Dec-22意向原文55TX-电子束曝光(EBL)1800华中科技大学2022/11/9 18:22Dec-22意向原文56TX-对准光刻与晶圆键合机490华中科技大学2022/11/9 18:22Dec-22意向原文57TX-高精度无掩膜光刻机500华中科技大学2022/11/9 18:22Dec-22意向原文58TX-晶圆划片道直写填充装备420华中科技大学2022/11/9 18:22Dec-22意向原文59TX-深紫外光刻机(DUV)4200华中科技大学2022/11/9 18:22Dec-22意向原文60激光直写光刻机400浙江大学2022/11/10 14:56Dec-22意向原文61面向国家“卡脖子”技术需求的工业芯片设计与制造全流程技术平台--X-射线衍射仪、紫外光刻机、步热分析仪500东北大学2022/11/10 18:35Dec-22意向原文62台式微纳结构高速直写系统180华东师范大学2022/11/11 8:46Nov-22意向原文
  • 传俄罗斯2028年量产7nm光刻设备
    据外媒Tomshardware报导,一家俄罗斯研究单位正在研究开发自己的半导体微影光刻设备,预计该设备可以被用于7纳米制程芯片的生产上。整个计划预计在2028年完成,而且一旦完成之后,其设备可能会比ASML的Twinscan NXT:2000i效能更高。值得一提的是,ASML开发Twinscan NXT:2000i的时间超过了10年。报导表示,俄罗斯政府推出了一项国家计划,到2030年开发出自己的28纳米制程技术,并尽可能利用外国芯片进行逆向工程取得技术,同时也要培养本土人才从事国产芯片的生产工作。根据俄罗斯所发表的计划,俄罗斯科学院下属的俄罗斯应用物理研究所(Russian Institute of Applied Physics,IAP)预期,到2028年研发且量产出具有7纳米制造能力的微影光刻设备。报导指出,俄罗斯即将开发量产的设备,将与ASML或NIKON等公司生产的微影光光设备有所不同。例如,IAP计划使用大于600W的光源,曝光波长为11.3nm(EUV波长为13.5纳米),这将需要比现在更复杂的光学元件。由于该设备的光源功率相对较低,这将使该工具体积更紧凑,因此更容易制造。然而,这也意味着其微影光刻设备的芯片产量将大大低于现代深紫外(DUV)微影光刻设备。但IAP表示,这将不会是问题。就现阶段来说,32纳米以下的制程技术,制造商目前主流采用的是所谓的沉浸式微影光刻设备。ASML于2003年底推出了其第一款沉浸式微影光刻设备-Twinscan XT:1250i,并在2004年第三季交货一台设备,用以生产65纳米逻辑芯片和70纳米等级的DRAM。之后,该公司花了大约5年时间,于2008年底宣布推出之支援32纳米的Twinscan NXT:1950i,沉浸式微影光刻设备,并于2009年开始向客户交货。以上说明,代表当前的技术领先者ASML大约花了9年的时间,才在2018年交货其支援7纳米和5纳米制程的Twinscan NXT:2000i DUV微影光刻设备。再从ASML的产品发展历程来看,从65纳米制程发展到7纳米制程,总计用了14年的时间。现在,在芯片生产方面没有任何经验或与芯片制造商没有任何联系的俄罗斯IAP,打算在大约6年的时间里从头开始制造一套支援7纳米制程的微影光刻设备,并进一步进行量产,虽然这个计划听起来实在不太可行,但看起来IAP却是充满了热情。根据发展时程,IAP计划在2024年之前建造一个功能齐全的首代微影光刻设备。这个微影光刻设备不必提供高生产率,或最大解析度,但必须能运作,使其对潜在投资者有吸引力。之后,IAP打算在2026年之前制造出具有更高生产力和解析度的微影光刻设备的测试版。这时这套机器应该要可以量产晶圆,但预计其生产力不会达到最大。至于,俄罗斯规划的微影光刻设备终极版本将在2028年问世,其不但要能获得高性能光源,并且具有更好的计算量测和整体能力。不过,目前尚没有公布IAP与其生产合作伙伴将要生产多少套此类设备。
  • 盘点光刻设备国产零部件最新进展
    光刻机被业界誉为集成电路产业皇冠上的明珠,研发的技术门槛和资金门槛非常高。也正是因此,能生产高端光刻机的厂商非常少,到最先进的EUV光刻机就只剩下ASML。据ASML之前公布资料显示,ASML 是全世界唯一一家使用极紫外EUV光源的光刻机制造商。EUV光源波长只有13.5 nm(接近X射线水平),远大于DUV光刻机的193nm,目前用于台积电最先进的5 nm生产线。相比之下,国内光刻机厂商则显得非常寒酸,处于技术领先的上海微电子装备有限公司已量产的最先进的SSA600/20型号前道光刻机采用了ArF准分子光源,即深紫外DUV光刻机,光刻分辨率只有90 nm。有消息称上海微电子即将于2021年,也就是几个月之后会交付首台国产的分辨率达28 nm的光刻机,目前国内晶圆厂所需的高端光刻机完全依赖进口。随着贸易战的愈演愈烈,美国对华为的打压也蔓延到了半导体领域,国内先进光刻机采购遭遇重大阻力。同时由于《瓦森纳协定》的限制,即使突破了技术,能够制造先进光刻机,其核心零部件的进口也可能会受到限制。针对于此,去年中科院院长白春礼接受采访时表示:“未来中科院将集结全院之力攻克光刻机、关键材料等重点技术,帮助国内科技企业摆脱被西方国家卡脖子的命运。”实际上此前我国已经对光刻机的零部件进行了大量的技术公关,去年小编也盘点了02专项中光刻机核心零部件研发进展【国产光刻机及关键核心零部件研发进展 】。而最近国内再次取得了新的技术进步,小编特对其进行盘点。中科院物理研究院国内第一台高能同步辐射光源设备问世6 月 28 日上午,由国家发展改革委立项支持、中国科学院高能物理研究所承建的高能同步辐射光源(HEPS)完成了加速器设备电子枪的安装,这是 HEPS 首台安装的科研设备,是加速电子产生的源头。为 HEPS 提供技术研发与测试支撑能力的先进光源技术研发与测试平台(PAPS)同期转入试运行,超导高频及低温、精密磁铁测量、X 射线光学检测等设备开机运转。接近光速运动着的电子或正电子在改变运动方向时放出的电磁波叫做辐射波,因为这一现象是在同步加速器上发现的,所以称为同步辐射。这种电子的自发辐射,强度高、覆盖的频谱范围广,可以任意选择所需要的波长且连续可调,因此成为一种科学研究的新光源。高能同步辐射光源将成为中国首个第四代同步加速器光源,它也将成为世界上仅有的几个此类装置之一。它将使用更先进的,被称为 “多弯消色差透镜” 的磁铁阵列,从而获得亮度更大的光束。同步辐射有可能被用作强X射线源和精细可调谐X射线源,进而用于衍射、光谱、成像以及其他用途,未来也可能用于光刻EUV光源的产生。国内首台光镜镀膜设备投用中科科仪旗下的中科科美也传来佳讯,其研制的直线式劳埃透镜镀膜装置及纳米聚焦镜镀膜装置于2021年6月28日正式投入使用。据了解,中科科仪推出的镜镀膜装置可满足大多数物理镜头对膜层制备的工艺需求。诸如聚焦镜、单色镜、劳埃镜、纳米聚焦镜以及用于EUV光刻机当中的光镜头。与DUV不同,EUV用的是13.5nm的光波长,无法透过目前用的透镜材料,因此EUV系统为全反射。包括EUV的光罩(掩模)也是用反射结构。由于EUV光刻镜头是面向更高制程、更多数量的硅基晶体管芯片,EUV光刻机对镜头镜面光洁度的要求极高,即镜面光洁度不得超过50皮米。中科科仪投用的真空镀膜设备能够将膜厚精度控制在0.1纳米(100皮米)以内,实现高精度纳米量级万层镀膜工艺,适用于光刻机镜头的制备,一定程度上能够降低国产设备厂商在光刻镜头项目中面临的压力,加速国产半导体厂商在光刻镜头项目中的进展。上海微系统所实现片上亚纳米量级的超灵敏位移传感近日,中国科学院上海微系统所信息功能与材料国家重点实验室硅光子课题组研究员武爱民团队、深圳大学教授袁小聪、杜路平团队及英国伦敦国王学院教授Anatoly V. Zayats课题组合作,在硅衬底上提出了基于布洛赫表面光场的非对称传输特性实现超灵敏位移测量的方法,并实现了亚纳米级的位移传感。光学手段为精密位移测量提供了非接触的方案,可实现高灵敏度、高分辨率的位移检测,在纳米尺度位移传感、半导体技术及量子技术等领域具有重要应用。EUV光刻机由于光刻制程先进,其对对准精度的要求也非常高,而该工作利用纳米尺度的狭缝实现了布洛赫表面波的非对称传输,通过连续改变光与狭缝的相对位置,在实验上实现了对于位移的精确测量,灵敏度可达0.12 nm⁻¹,分辨率和量程达到8 nm和300 nm。该研究为纳米测量及超分辨显微提供了新的物理原理,并为超灵敏的位移测量提供了精巧的微型化方案。华卓精科双工件台可用于65nm以下制程此前,由北京华卓精科科技股份有限公司和清华大学联合研发的首台国产干式光刻机双工件台产品完成测试,移机交付整机单位进入光刻机联合调试阶段。工件台是光刻机产品平台的核心主体,搭载不同曝光光学系统和光源可形成全系列光刻机。华卓精科官网显示,其光刻机双工件台打破了ASML公司在工件台上的技术垄断,成为世界上第二家掌握双工件台核心技术的公司。华卓精科作为我国在该领域的杰出代表企业,目前与清华大学的专业团队进行合作,共同研制出的双工件台,其技术水准完全可以与阿斯麦相提并论,实力不相上下,据了解,上海微电子制造的28nm光刻机,其中利用的就是华卓精科的双工件台。该双工件台的精度可以达到1.7nm,主要被应用在65nm以下的芯片制程,它的出现预示着我国在该领域技术的进步、打破西方国家的封锁,实现自主化生产。据业内媒体消息披露,上海微电子将于2022年前交付第一台28nm工艺的国产沉浸式光刻机。这意味着我国的先进光刻机已经实现了技术突破,但可以实现更高制程的EUV光刻机仍然任重而道远。而光刻机零部件的不断突破,为国产替代再填助力。“我们从古以来,就有埋头苦干的人,有拼命硬干的人,有为民请命的人,有舍身求法的人,……虽是等于为帝王将相作家谱的所谓"正史",也往往掩不住他们的光耀,这就是中国的脊梁……”伴随着科研人员的“负重前行”,相信不久的将来必能继续传出好消息,完成半导体设备的拼图。扫描下方二维码,加入半导体行业交流群
  • 2020光刻设备中标盘点:疫情之后,市场活力回升!
    p style=" text-align: justify text-indent: 2em " span style=" text-indent: 28px " 光刻机被业界誉为集成电路产业皇冠上的明珠,又名:掩模对准曝光机,曝光系统,光刻系统等,是制造芯片的核心装备。它采用类似照片冲印的技术,把掩膜版上的精细图形通过光线的曝光印制到硅片上。出于众所周知的原因,中芯国际2018年订购、原预计2019年到货的ASML EUV光刻机,在2021年即将到来之际,依然听不到何时能发货的消息;近日,有消息人士透露,中芯国际新上任董事会副董事长蒋尚义将与ASML公司就EUV光刻设备进行谈判,谋求EUV光刻机发货。目前,中国光刻机技术至少落后国际先进水平2代以上,为解决中国半导体制造面临的困难,中科院率先士卒,白春礼院长表示:将光刻等卡脖子技术列入院里紧急的科研任务清单。 /span br/ /p p style=" text-indent: 28px text-align: justify " 除了前述的紫外光刻技术外,广义的光刻设备还包括电子束光刻和离子束光刻等,在 span ASML /span 之外,还有众多其他生产厂商。此外,不同的应用(如:掩膜版、功率芯片等)对光刻机的制程要求也不同,中国市场上对 span 14nm /span 以上的支撑的光刻机也有广泛的需求。硅芯片对先进制程光刻机要求很高,对于石墨烯晶圆发展出的碳基芯片而言,存在一种可能性:基于石墨烯的性能,在制造方面绕开了复杂的高端光刻技术,也可以理解为,对光刻技术的要求不像 span 5nm /span 硅基芯片那么高的要求。 span 2019 /span 年,国际石墨烯创新大会上,中科院首次展示开发完成的 span 8 /span 英寸石墨烯晶圆,无论是在质量上或是尺寸上,该成果都达到了最顶尖的水平。仪器信息网近期特对一年内的光刻设备的中标讯息整理分析,供广大仪器用户参考。 span style=" color: rgb(165, 165, 165) font-size: 14px " (注:本文搜集信息全部来源于网络公开招投标平台,不完全统计分析仅供读者参考。) /span /p p style=" text-align: center text-indent: 0em " span & nbsp img style=" max-width: 100% max-height: 100% width: 400px height: 240px " src=" https://img1.17img.cn/17img/images/202012/uepic/34802fd0-c374-449f-9e6c-c4ac8e833df1.jpg" title=" 1.png" alt=" 1.png" width=" 400" height=" 240" border=" 0" vspace=" 0" / /span /p p dir=" ltr" style=" text-align: center text-indent: 0em " strong span style=" font-family:& #39 微软雅黑& #39 ,sans-serif color:#444444" 各月中标量占比 /span /strong /p p style=" text-indent: 28px text-align: justify " span 2019 /span 年 span 10 /span 月至 span 2020 /span 年 span 9 /span 月,根据统计数据,光刻设备的总中标数量为 span 104 /span 台,涉及金额上亿元。 span 2019 /span 年 span 10 /span 月至 span 2020 /span 年 span 1 /span 月,平均中标量约 span 9 /span 台每月。 span 2020 /span 年 span 2 /span 月,由于疫情影响,光刻设备市场低迷,无成交量。从 span 2020 /span 年 span 3 /span 月起,随着国内疫情稳定以及企业复产复工和高校复学的逐步推进,光刻设备市场逐渐回暖,其中 span 9 /span 月产品中标量高达 span 20 /span 台。& nbsp /p p style=" text-indent: 0em text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 240px " src=" https://img1.17img.cn/17img/images/202012/uepic/e0baace5-ac38-47dc-b240-776c8f3cb4a3.jpg" title=" 2.png" alt=" 2.png" width=" 400" height=" 240" border=" 0" vspace=" 0" / /p p style=" text-indent: 0em text-align: center " strong span style=" font-family:& #39 微软雅黑& #39 ,sans-serif color:#444444" 采购单位性质分布 /span /strong /p p style=" text-indent: 28px text-align: justify " 从光刻设备的招标采购单位来看,高校是采购的主力军,采购量占比高达 span 55% /span ,企业和科研院所的采购量分别占比 span 23% /span 和 span 22% /span 。值得注意的是,企业和科研院所采购设备的单价较高,集中于高端设备和量产型设备,而高校采购以科研为主,多采购无掩膜激光直写设备。其中,在企业采购中,华虹半导体是主力。 /p p style=" text-align: center text-indent: 0em " img style=" max-width: 100% max-height: 100% width: 400px height: 240px " src=" https://img1.17img.cn/17img/images/202012/uepic/3c69022a-3cd3-43ee-81d6-f0ac3b9e7b91.jpg" title=" 3.png" alt=" 3.png" width=" 400" height=" 240" border=" 0" vspace=" 0" / /p p style=" text-align: center text-indent: 0em " strong span style=" font-family:& #39 微软雅黑& #39 ,sans-serif color:#444444" 招标单位地区分布 /span /strong /p p style=" text-indent: 28px text-align: justify " 本次盘点,招标单位地区分布共涉及 span 21 /span 个省份、自治区及直辖市。北京、上海、广东、江苏、浙江为光刻设备采购排名前 span 5 /span 的地区,其中北京的中标量最多,达 span 21 /span 台。在这些地区中,北京和广东以高校和科研院所采购为主,主要用于科研领域;上海以企业采购为主,这主要由于上海是我国集成电路产业发达地区;江苏以高校和企业采购为主,而浙江以科研院所采购为主。 /p p style=" text-indent: 0em text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 235px " src=" https://img1.17img.cn/17img/images/202012/uepic/80044ef4-742c-4cb2-8c07-afdd52cb1f69.jpg" title=" 4.png" alt=" 4.png" width=" 400" height=" 235" border=" 0" vspace=" 0" / /p p style=" text-align: center text-indent: 0em " strong span style=" font-family:& #39 微软雅黑& #39 ,sans-serif color:#444444" 不同类型光刻设备占比 /span /strong /p p style=" text-indent: 28px text-align: justify " 广义上的光刻设备还包括了电子束光刻和离子束光刻,根据搜集到的中标数据可知,传统光刻占据了中标光刻设备的主流、占比高达 span 92% /span 。电子束光刻又称电子束曝光机,在采购中仅占 span 7% /span ,主要用于科研领域和掩模版制作,但由于其刻蚀速率太低,无法用于量产,因此采购量较少,但 span style=" color: rgb(0, 0, 0) " strong a href=" https://www.instrument.com.cn/news/20201106/564008.shtml" 电子束曝光机是半导体制造的基础设备 /a /strong /span 。 /p p style=" text-indent: 28px text-align: justify " 本次光刻设备中标盘点,涉及品牌有卡尔蔡司、 span Raith B.V. /span 、 span RAITH GmbH /span 、 span style=" font-size:15px color:black" sigma、日本电子株式会社、 span SUSS MicroTec /span 、 span Heidelberg Instruments /span 、 span Durham Magneto Optics Ltd /span 、 /span span style=" font-size:15px color:black" Nikon、 span EVG /span 、 span TEL /span /span 等。 /p p style=" text-indent:28px" 其中,各品牌比较受欢迎的产品型号有: /p p style=" text-align: center text-indent: 0em " img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202009/pic/c40ad352-119d-45d6-9361-9be039fcb2aa.jpg!w300x300.jpg" width=" 400" / /p p br/ /p p style=" text-indent: 0em text-align: center " a href=" https://www.instrument.com.cn/netshow/SH104744/" target=" _self" style=" text-decoration: none " span style=" text-decoration: none color: rgb(0, 0, 0) " strong style=" font-size: 16px text-decoration: underline " 德国海德堡无掩膜直写设备 /strong /span strong style=" font-size: 16px text-decoration: underline " /strong /a /p p style=" text-indent: 28px text-align: justify " span style=" font-size: 16px " 德国海德堡设备(Heidelberg Instruments),创始于1984年,在激光直写设备的发展和设计上持续地改良、在各种应用上客制化。海德堡区别于过去传统的工艺技术而开发的无掩膜激光直写技术,将设计图形直接曝光到涂覆有光刻胶的衬底材料上;曝光后,如果需要修改图形结构,可以直接通过CAD软件修改原始图形,然后重新曝光即可,无需花费重新制版的时间。主要产业应用有:生命科学、微流体、MEMS、微光学、传感器、材料研究等有微纳米结构需求的科研领域。 /span /p p style=" text-align:center" img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202009/pic/4b1e075e-81ff-4c18-90f1-bd342d67b07a.jpg!w300x300.jpg" width=" 400" / /p p style=" text-align:center" a href=" https://www.instrument.com.cn/netshow/C435461.htm" target=" _self" style=" font-size: 16px text-decoration: underline " strong span style=" font-size: 15px color: black " URE-2000/35型光刻机 /span /strong /a /p p style=" text-indent: 29px text-align: justify " span style=" font-size: 15px color: black " URE-2000/35型光刻机非常适合工厂(效率高,操作傻瓜型,自动化程度高)和高校教学科研(可靠性好,演示方便)采用自动找平,具备真空接触曝光、硬接触曝、压力接触曝以及接近式曝光四种功能,自动分离对准间隙和消除曝光间隙,采用 350W 进口(德国)直流汞灯,可调节光的能量密度。设备外形美观精制,性能非常可靠,自动化程度很高,操作十分方便。 /span /p p style=" text-align:center" img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/201906/pic/eaf3b9a8-4055-486b-b31d-432241c0c564.jpg!w300x300.jpg" width=" 400" / /p p style=" text-align:center" a href=" https://www.instrument.com.cn/netshow/C327949.htm" target=" _self" style=" font-size: 16px text-decoration: underline " span style=" font-size: 16px " strong span style=" font-size: 15px color: black " EVG610单面/双面光刻机 /span /strong /span /a /p p style=" text-indent: 29px text-align: justify " span style=" font-size: 15px color: black " EVG610是一款非常灵活的、适用于研发和小批量试产的对准系统,可处理200mm之内的各种规格的晶片。EVG610支持各种标准的光刻工艺,例如:真空、软、硬接触和接近曝光;也支持其他特殊的应用,如键合对准、纳米压印光刻、微接触印刷等。EVG610系统中的工具更换非常简便快捷,每次更换都可在几分钟之内完成,而不需要专门的工程人员和培训,非常适合大学、研究所的科研实验和小批量生产。 /span /p p style=" text-indent: 28px text-align: justify " 点击此处进入 span style=" color: rgb(0, 176, 240) " 【 a href=" https://www.instrument.com.cn/zc/2507.html" 光刻机 /a 】 /span 专场,获取更多产品信息。 /p p style=" text-align: left text-indent: 0em " br/ /p p style=" margin-bottom:0 text-align:center" strong span style=" font-family:& #39 微软雅黑& #39 ,sans-serif color:#444444" 更多资讯请扫描下方二维码,关注【材料说】 /span /strong /p p style=" margin-bottom: 0px text-align: center " img style=" max-width: 100% max-height: 100% width: 344px height: 344px " src=" https://img1.17img.cn/17img/images/202012/uepic/2692bb86-ec9d-4332-9deb-ddc25bdcac7f.jpg" title=" 材料说.jpg" alt=" 材料说.jpg" width=" 344" height=" 344" / /p
  • 佳能半导体光刻设备销量将达244台
    佳能(Canon)公布了2024年第二季(4-6月)财报,整体营收创同期历史新高,净利润也同比大涨。同时,佳能还上调了2024年全年业绩指引,预估值远优于市场预期,推动佳能26日股价大涨。具体来说,因半导体光刻设备、激光打印机等产品销售增长,加上日元贬值,带动佳能第二季度合并营收较去年同期增长14.4%至11,678亿日元,创历年同期历史新高纪录。合并营业利润也同比大涨28.3%至1,184亿日元,合并净利润也同比大涨37.4%至899亿日元。从各业务板块营收来看,二季度佳能以数字相机为主的图像事业部营收达2,447亿日元,同比增长11.6%,营业利润同比增长19.0%至410亿日圆;产业机器事业部(包含半导体光刻设备、FPD光刻设备和OLED蒸镀设备等)营收大增26.2%至945亿日元,营业利润大增48.2%至179亿日元。从设备销量来看,二季度佳能数字相机销售量较去年同期下滑3%至76万台;半导体微影设备销售量达60台,同比大涨43%。值得一提的是,日本半导体制造装置协会(SEAJ)7月23日公布统计数据指出,2024年6月份日本制半导体(芯片)设备销售额(3个月移动平均值、包含出口)为3,439.90亿日元,较去年同月大增31.8%。连续第6个月呈现增长,创21个月来(2022年9月以来、大增36.1%)最大增幅,月销售额连续第8个月突破3,000亿日元。佳能在新闻稿中表示,因激光打印机、单反相机销售复苏,生成式AI投资旺盛,推升半导体光刻设备预估将持续呈现高速成长。加上受益于日元贬值,因此今年度(2024年1-12月)合并营收目标自原先预估的4.35万亿日元上修至4.6万亿日元,同比增长10.0%,年营收将超越2007年度(4.48万亿日元),创下历史新高纪录。合并营业利润也将由原先预估的4,350亿日元上修至4,650亿日元,同比增长23.9%;合并净利润目标也由3,050亿日元上修至3,350亿日元,同比增长26.6%。对于今年各主要设备的出货量预估方面,佳能预计今年度半导体光刻设备销售量将为244台,将较2023年度(187台)大增30%;预估今年度数字相机全球销售量为290万台,将年增1%(上年度为288万台)。
  • 前六月进口近70亿元:光刻设备海关进口数据分析
    自美国提出终断该国企业与华为多年的芯片供应以来,研制中国自己的国产芯片提上了我国的发展日程,也是当前中国市场最为紧迫的一项技术,关于芯片技术发展的讨论不仅在专业领域盛行,也成为了普通民众议论的焦点所在。而芯片的制造离不开半导体设备,其中光刻设备是其中的重中之重。但长期以来,我国的光刻机依赖进口严重。2022年,美国出台《芯片法案》限制向中国大陆出口半导体设备。据《华尔街日报》报道,日本和荷兰已加入美国,努力限制向中国出口先进芯片制造设备(详情:日本、荷兰和美国联合起来限制向中国出口芯片制造设备 )。2月15日,就美日荷限制向中国出口相关芯片制造设备,中国半导体行业协会发表声明(详情:就美日 荷 限制向中国出口相关芯片制造设备,中国半导体行业协会发表声明 )。6月30日,荷兰政府发布公告,9月1日,先进半导体制造设备的额外出口管制措施将生效。从那时起,某些先进半导体制造设备的出口将受到国家授权要求的约束,限制中除了EUV光刻机,还包括了较为先进的DUV光刻机(详情:升级光刻机管制!荷兰发布先进半导体制造设备出口禁令)。此外,日本也限制了6类23种半导体制造设备出口,中国半导体行业协会发布严正声明表示,此次日本政府的出口管制措施将对全球半导体产业生态带来更大的不确定性(详情:中国半导体行业协会再发严正声明 )。日本和荷兰是光刻机的主要生产国家,上半年的种种措施出台为中国半导体产业增添了种种不确定性,为了解上半年光刻机的进口情况,仪器信息网特别对2023年1-6月,光刻机(商品编码84862031、84863031)进口数据进行了分析汇总,为大家了解中国目前光刻机市场做一个参考。需要注意的是,海关数据根据应用领域和原理将光刻机主要分为两类,包括制半导体器件或集成电路用的分步重复光刻机(84862031)和制造平板显示器用的分布重复光刻机(84863031)。2022-2023年1-6月光刻机进口额变化(单位:元)商品名称2022年2023年增幅制半导体器件或集成电路用的分步重复光刻机5245402082684285701030%制造平板显示器用的分布重复光刻机95803117664797902-93%2023年1-6月光刻机进口占比2023年1-6月进口额变化2022年1-6月进口额变化从近两年上半年的进口数据来看,制半导体器件或集成电路用的分步重复光刻机增长幅度较大,达到了30%的增幅,而用于制造平板显示器的分布重复光刻机出现了明显的暴跌,增幅为-93%,远低于去年同期。而从两类光刻机的占比来看,制半导体器件或集成电路用的分步重复光刻机明显占据主要市场份额。实际上,此前两类光刻机进口额接近(详情:前十月 进口额已达103亿元:光刻设备海关进口数据分析)。用于平板显示器的分布重复光刻机的进口额正经历着逐步暴跌的过程,这或许和国产替代有关。值得注意的是,今年上半年光刻设备进口额在六月份出现暴涨,单月进口额超34亿元,远高于去年同期和其他月。和去年上半年变化趋势相比,这一暴涨的变化趋势也颇为突兀明显。这可能和美日荷制裁升级有关,或因相关消息引发市场恐慌性抢购设备导致。制半导体器件或集成电路用的分步重复光刻机进口贸易伙伴分布制造平板显示器用的分布重复光刻机进口贸易伙伴分布制半导体器件或集成电路用的分步重复光刻机主要进口自荷兰和日本,其中荷兰进口额占比高达76.7%,日本占比21.9%。制造平板显示器用的分布重复光刻机不同于集成电路和半导体器件用光刻机,从其进口额分布可以看出,此类光刻机进口市场被日本企业牢牢把控。目前我国已成为世界平板显示器生产大国,拥有了一批巨头企业,市场广阔。制半导体器件或集成电路用的分步重复光刻机进口注册地分布制造平板显示器用的分布重复光刻机进口注册地分布那么这些光刻机主要销往何处?通过对进口数据的注册地进行分析发现,制半导体器件或集成电路用的分步重复光刻机主要“落脚地”是上海市、江苏省和北京市,这些地区也是我国经济较发达地区,近年来积极布局半导体产业,已经逐步形成了一批集成电路产业集群。而制造平板显示器用的分布重复光刻机主要“落脚地”是重庆市。据了解,在“十四五”期间,重庆将重点建设包括集成电路、新型显示、新型智能终端等在内的十类战略性新兴支柱产业。其中新型显示产业在重庆的十类战略性新兴支柱产业中排名第二,地位仅次于集成电路产业。近年来,重庆市新型显示产业政策持续出台,2017年达到峰值,为9条。2022年,重庆市共有2条相关政策公布。在当地政府支持下,近年来多个新型显示优质项目签约落地重庆,重庆正在通过显示面板领域重点项目投产和优质项目签约,进一步加快新型显示产线建设,让重庆新型显示产业的发展活力充沛。根据企查猫查询数据显示,近年来新型显示历年新注册企业数量稳定增长。截至2023年1月17日,重庆新型显示产业相关的注册企业超200家,其中2020年新注册企业数量创历史高峰,达43家,从新增企业数量来看,重庆市新型显示产业企业数量将继续保持上升态势。
  • 总预算3.5亿元!各高校11月发布的光刻设备采购意向盘点
    2022年9月13日,国务院常务会议决定对部分领域设备更新改造贷款阶段性财政贴息和加大社会服务业信贷支持,政策面向高校、职业院校、医院、中小微企业等九大领域的设备购置和更新改造。贷款总体规模预估为1.7万亿元。2022年9月28日,财政部、发改委、人民银行、审计署、银保监会五部门联合下发《关于加快部分领域设备更新改造贷款财政贴息工作的通知》(财金〔2022〕99号),对2022年12月31日前新增的10个领域设备更新改造贷款贴息2.5个百分点,期限2年,额度2000亿元以上。因此今年第四季度内更新改造设备的贷款主体实际贷款成本不高于0.7%(加上此前中央财政贴息2.5个百分点)。这两大重磅政策提供极低利息的贷款给消费端提前进行设备购置和更新改造,推动我国仪器市场迎来新一波仪器采购大潮。仪器信息网注意到,11月份以来,各大高校发布了众多半导体设备采购意向。仪器信息网特汇总统计了光刻设备相关的采购意向,含激光直写设备和电子束曝光机,总预算约3.5亿元。11月发布的光刻设备相关的采购意向汇总序号项目名称预算金额(万元)采购单位预计采购时间意向原文1TX-深紫外光刻机(DUV)4200华中科技大学Dec-22意向原文2电子束光刻机3000清华大学Dec-22意向原文33D电子束光刻设备2800武汉大学Dec-22意向原文4电子直写设备2500复旦大学Dec-22意向原文5电子直写设备1800复旦大学Dec-22意向原文6TX-电子束曝光(EBL)1800华中科技大学Dec-22意向原文7电子束曝光系统1400浙江大学Dec-22意向原文8集成电路学院电子束曝光机采购1400中山大学Dec-22意向原文9100kV电子束曝光机1300浙江大学Dec-22意向原文10电子束曝光系统1280上海交通大学Dec-22意向原文11100kV电子束曝光机1150浙江大学Dec-22意向原文12电子束扫描直写系统910南开大学Dec-22意向原文13高精度电子束曝光机870北京师范大学Dec-22意向原文14双光子灰度对准光刻机690天津大学Dec-22意向原文15高性能激光直写系统650上海交通大学Dec-22意向原文16TX-高精度无掩膜光刻机500华中科技大学Dec-22意向原文17激光直写光刻系统500山东大学Jan-23意向原文18TX-对准光刻与晶圆键合机490华中科技大学Dec-22意向原文19双光子三维光刻系统480复旦大学Dec-22意向原文20激光直写系统420南开大学Dec-22意向原文21电子束光刻系统400武汉大学Dec-22意向原文22激光直写光刻机400浙江大学Dec-22意向原文23激光直写设备400重庆大学Dec-22意向原文24高分辨掩膜光刻机采购390西南大学Dec-22意向原文25光刻机360吉林大学Dec-22意向原文26电子束曝光系统350大连理工大学Nov-22意向原文27光刻机350清华大学Dec-22意向原文28无掩膜曝光机350清华大学Dec-22意向原文29三维微打印光刻系统340上海交通大学Dec-22意向原文30接触式紫外光刻机320清华大学Dec-22意向原文31无掩膜光刻机320天津大学Dec-22意向原文32集成电路科学与工程学院8英寸高精度光刻机284北京航空航天大学Dec-22意向原文33超高精密微立体光刻加工系统279厦门大学Dec-22意向原文34掩模版对准光刻系统270上海交通大学Dec-22意向原文35光刻机235大连理工大学Nov-22意向原文36大连理工大学面投影微立体光刻技术微尺度3D打印机采购(贷款项目)200大连理工大学Nov-22意向原文37紫外掩膜光刻机系统199大连理工大学Nov-22意向原文38光刻机199清华大学Dec-22意向原文39台式微纳结构高速直写系统180华东师范大学Nov-22意向原文40规模化集成电路无掩膜激光直写系统175北京科技大学Nov-22意向原文41激光直写光刻机系统175大连理工大学Nov-22意向原文42电子束曝光机维保160中国科学院微电子研究所Nov-22意向原文43北京理工大学激光直写设备采购145北京理工大学Dec-22意向原文44高精度无掩模纳米光刻微加工系统145浙江大学Dec-22意向原文45中国科学院大学集成电路学院计算光刻软件采购项目140中国科学院大学Nov-22意向原文 本次采购共含45项相关采购意向,其中16项为电子束曝光机。其中大部分采购定于12月份。采购预算最高的是深紫外DUV光刻机,价值4200万元,其次为电子束曝光机,价值3000万元。通知:免费学习+直播抽奖|第三届“半导体材料、器件研究与应用”网络会议即将召开为加速国内半导体材料及器件发展,促进国内半导体材料与器件领域的人员互动交流,推动我国半导体行业的高质量发展。仪器信息网联合电子工业出版社将于2022年12月20-22日举办第三届“半导体材料与器件研究及应用”主题网络研讨会,围绕光电材料与器件、第三代半导体材料与器件、传感器与MEMS、半导体产业配套原材料等热点议题,为国内广大半导体材料与器件研究、应用及检测的相关工作者提供一个突破时间地域限制的免费学习平台,让大家足不出户便能聆听到相关专家的精彩报告。为回馈线上参会网的支持,增进会议线上交流互动,会务组决定在会议期间增设多轮抽奖环节,欢迎大家报名参会。同时,只要报名参会并将会议官网分享微信朋友圈积赞30个可以获得《2021年度科学仪器行业发展报告》(独家首发)一本,报名参会进群还将获得半导体相关学习电子资料压缩包一份。会议同期,还有部分赞助厂商将抽取幸运观众,邮寄企业周边产品。本次会议免费参会,参会报名请点击会议官网:https://insevent.instrument.com.cn/t/Mia (内容更新中)或扫描二维码报名
  • 恭喜!冠石半导体,首台,光刻设备成功入场
    据宁波前湾新区管理委员会7月15日消息,近日宁波冠石半导体有限公司迎来关键节点,引入首台电子束掩模版光刻机。据悉,该设备是光掩模版40nm技术节点量产及28nm技术节点研发的重点设备。近日,宁波冠石半导体有限公司迎来关键节点,企业引入首台电子束掩模版光刻机。据悉,该设备是光掩模版40纳米技术节点量产及28纳米技术节点研发的重点设备。宁波冠石半导体公司是一家专业从事半导体光掩模版制造的企业,企业主要从事45-28nm半导体光掩模版的规模化生产。光掩模版是微电子制造中光刻工艺所使用的图形转移工具或母版,其功能类似于相机的“底片”。作为半导体产业链上游重要的原材料之一,光掩模版是承载图形设计和工艺技术等知识产权信息的载体。目前,我国高精度半导体光掩模版产品主要仍依赖于进口,国产化率极低。从2023年5月16日落笔签约,同年10月1日落地开工,2024年1月27日落成结顶,到如今设备陆续进场,搭乘营商环境优化提升“一号改革工程”的“东风”,宁波冠石半导体公司建设按下“快进键”,再次刷新前湾新区数字经济产业的新速度。门槛高、壁垒厚、而需求旺,光掩模版产业发展空间价值凸显,在广阔的国内外市场大有可为。当前,冠石一期洁净车间设计产能为月产5000片180纳米至28纳米集成电路掩模版。据冠石相关负责人介绍,企业正加速推进海外布局战略,并在世界一流半导体光掩模版制造技术班底的加持下,预计今年底,企业将陆续实现为国内外中高端集成电路掩模版提供制版服务。光掩模版制造是数字经济产业的关键技术,是急需补上的“卡脖子”产业,也是弯道超车、换道超车的重要赛道。宁波冠石半导体是新区补齐半导体产业链的重要一环。企业建成投产后将成为国内技术能力先进的独立光掩模版生产企业,可填补国内高阶制程光罩空白,打破国外高端光掩模版的垄断局面,提高我国半导体光掩模产业的安全和可控性。产业高质量发展,要做到“新”中有“数”。为此,前湾新区大力招引冠石在内的数字经济重点项目,攻克芯片、半导体等一批关键核心技术,构建以企业为主体的技术创新体系。近年来,前湾新区聚焦数字经济产业,引进相关项目超60个,总投资超300亿元,聚集了一大批数字经济龙头企业,初步培育形成集成电路、智能终端、汽车电子、软件设计、关键传感器等数字经济产业链。为进一步优化数字产业发展生态环境,前湾新区还组建20亿元的数字经济产业发展基金,专门投向电子信息制造、工业互联网、5G+、人工智能等产业方向,助力数字经济产业加快发展。
  • 2024-Q1,全球光刻设备出货量大跌!
    半导体光刻机的出货量,一定程度上比较准确地反映了全球半导体产能的扩张情况。最近,ASML、NIKON和CANON三家都公布了今年Q1的设备出货量。整理后发现,最新季度中全球光刻设备的出货无论是环比还是同比都出现了明显下降。话不多说,上图表:以下是分类数据的统计:1)EUV设备的供应商只有ASML一家。从下图上看,如果忽略2023年Q1的短暂暴增,其实最近两年的出货数据变化不大。说明手机和AI算力芯片的带动下,高端工艺的产能扩张情况还算比较稳定2)DUV的设备出货量明显下降首先,浸入式ArF光刻设备的供应商是ASML和NIKON两家。从下表的数据上看,两家的出货量均有大幅衰减其次是干式ArF设备。目前看来跌幅较大的是ASML。不过这类设备总体出货量不大,对总体的影响很小然后是KrF设备。这种设备三家都有供货。不过从数据上看,只有ASML一家数据是下降的。CANON在这一领域的市占率也不算小,但看起来出货量上没有什么明显变化3)i-Line设备三家都有供货,而且从数量上看CANON是第一大供应商。目前这个领域出货量同比是增加的。忽略去年Q4的异常暴增数据影响,看起来走势还是向好的简单总结所以,总体看来出货量下降的主要原因来自ASML。除了它的EUV设备变化不大,其它各种类型光刻设备的出货数据在Q1都有明显下降从ASML营收的区域分布来看,中国大陆在Q1依旧是最大买家。降幅主要来自中国台湾地区和韩国。尤其是台湾地区,Q1采购量跌到10年前的水平看起来,以TSMC为首的台系晶圆厂在最近一段时间的产能扩张都极其保守。这对于其它头部设备供应商而言都可能会有不小的负面影响
  • 利用传统光刻设备制备柔性可降解电子器件!
    光刻技术是在硅基工业中的一种关键且成熟的技术,它可以精密地定义与制备小尺度的微电子器件。然而,要将光刻技术应用于柔性电子器件的实现,柔性可降解基底对光刻过程中要用到的有机溶剂、高温以及紫外光的敏感性是它面临的核心挑战。很多时候,由于柔性层表面的粗糙性、剥落、不均匀性以及气泡等问题,器件难以实现预期性能。因此,为了保护光刻过程中脆弱的柔性可降解基底,来自土耳其伊斯坦布尔的科克大学的研究人员提出可以利用一层额外的无机薄膜层来隔绝柔性基底与表面光刻工艺的各种操作。相关论文以题为“Photolithography-Based Microfabrication of Biodegradable Flexible and Stretchable Sensors”发表在Advanced Materials上。研究人员通过优化的微纳加工工艺流程实现了具有高性能、一致性、可拉伸性以及稳定性的柔性生物可降解的电子器件。图1a和1b展示了在一个指尖大小(1 cm2)的柔性PLA贴片上包含了1600个IDE电容器,它们的器件均一性达到了3.08±3.89*10-3 pF。同时,多种其他类型的电子器件如电极、电阻、电感以及平行板电容器也可以实现小型化与可拉伸性(图1c)。图1d展示了在一个已经发生部分降解的PGS基底上制备的IDE电容器。制备这种柔性可降解电子器件工艺的关键策略在于隔离在硅衬底上的柔性可降解基底。基本的制备步骤为(图1e):i)在硅衬底上增加牺牲层图层;ii)依次沉积柔性可降解聚合物基底层、保护层、黏附层以及金属层;iii)金属层图案化。其中,值得关注的是:(1)牺牲层采用水溶性的右旋糖苷(Dextran),以确保在工艺完成后整块薄膜可以从硅衬底上剥离;(2)利用旋涂15 %(w/w)的PLA溶液(氯仿作为溶剂)加软烘脱气泡形成PLA柔心可降解基底;(3)锗(Ge)则利用物理气相沉积(PVD)在PLA表面被形成保护层,CVD不被选用的原因是会对PLA薄膜基底表面产生明显损伤。图1. 基于光刻工艺,在柔性可降解基底上制备可拉伸与小型化图案。(a) 在1cm2面积上包含有1600个器件的柔性贴片 (b) IDE电容阵列的共聚焦显微镜图像。插图:放大后的IDE电容器显微图像。比例尺:500 um(右)和200 um(左);(c) 在硅衬底上的聚乳酸基底上制备的各种器件照片。比例尺:1 cm; (d)放在PBS中,已经发生部分降解的PGS基底上的IDE器件 (e) 基于剥离法和反应离子刻蚀法(RIE)进行的工艺流程图。基于所提出的光刻制备柔性可降解器件的工艺,研究人员展示了器件良好的柔性(图2a)、优良的可降解性(图2b)以及使用其他材料的可拓展性(图2c-2d)。同时,对器件的均一性控制(图3a - 3c)以及器件不同尺寸的可定制性(图3d-3f)也做了响应的制备实验与表征。最后,为了展示该工艺在柔性可降解传感器制备中的应用潜力,该工作为我们展示了利用光刻工艺制作的电容式压力传感器以及葡萄糖电化学传感器并分别进行了测试。图2. 在柔性可拉伸基底上微纳制造可降解器件。(a) 带有电阻器件的柔性PLA贴片被环绕在一个直径1cm的玻璃棒上 (b) 在PDB溶液中浸泡时(1 M,室温下PH≈12),PLA基底上的钼(Mo)器件图案逐渐消失 (c) PGS柔性基底上的螺旋Mo器件 (d) 可拉伸器件在PBS溶液中降解的光学图像。图3. PLA基底上IDE电容阵列的表征。 (a) 8*8阵列的光学图像 (b) 每个IDE电容器在不同频率下的测试表现,插图显示了该阵列电容的数值分布 (c) 电容均一性展示图;(d) 4个不同宽度和间隙的微加工IDE电容器器件显微图像 (e) 高度小型化的IDE电容器件的SEM表征 (f) 不同尺寸IED电容器件在不同频率下的测试表现。图4. 可拉伸柔性基底上的微纳制备的可降解应变与化学传感器。 (a)光学和SEM图像 (b) 器件结构示意图 (c) 器件在不同频率下的响应特性测试 (d) 化学传感器的光学图像 (e) 化学传感器的性能测试 (f) 不同浓度被测物与传感器的电流响应。总的来说,该研究为我们展示了一种基于传统光刻工艺的制造柔性可降解电子器件的新方法。它尝试解决了光刻工艺中有机溶剂、紫外光和高温等操作对柔性可降解基底的损伤问题,并取得了较好的器件均一性。由于利用了硅基工业上已经很成熟且普及的光刻设备,它在批量制造上具有明显优势。同时,光刻工艺的小尺度加工的优点也被带入柔性电子器件的制备中,实现了小尺度器件的精细制造。但是,目前该研究工作中的电子器件还未涉及半导体材料,因此还有待进一步的发展与思考。
  • 这类仪器国产率仅11%,德国产品最受欢迎—全国共享光刻设备盘点
    光刻机被业界誉为集成电路产业皇冠上的明珠,又名:掩模对准曝光机,曝光系统,光刻系统等,是制造芯片的核心装备。它采用类似照片冲印的技术,把掩膜版上的精细图形通过光线的曝光印制到硅片上。但这种光刻机主要用于工业生产,对于半导体器件等研发来说,先进的紫外光刻机显得昂贵且笨重,同时由于其对光刻速度不敏感,因此科研领域往往使用激光直写设备和电子束曝光机来处理光刻胶。但一直以来,对科研用光刻设备缺乏调查。1月22日,科技部和财政部联合发布《科技部 财政部关于开展2021年度国家科技基础条件资源调查工作的通知(国科发基〔2020〕342号)》,全国众多高校和科研院所将各种科学仪器上传共享,对其中光刻设备的统计分析或可一定程度反映科研用光刻设备的市场信息。小编特对其进行分类统计,供读者一阅。各省(直辖市/自治区)光刻设备分布各省(直辖市/自治区)光刻设备分布图根据统计数据,共享光刻设备的总数量为291台,涉及22省(直辖市/自治区)。北京、江苏、广东、上海为共享光刻设备最多的地区,其中北京的数量最多,达83台。北京共享科研光刻设备数量较多,主要是由于其实力强劲的高等院校较多,其科研经费充足,可以购买更多的设备。这四个地区的经济发展水平在全国名列前茅,而且半导体产业发达,对光刻设备的需求也更高。进一步统计发现,光刻设备主要分布于北京大学、中科院半导体研究所、中国科学技术大学和清华大学。不同类型光刻设备分布根据搜集到的数据可知,传统的紫外光刻机占据了主流,占比达58%。电子束曝光机和激光直写设备占比都为21%。电子束曝光机主要用于科研领域和掩模版制作,但由于其刻蚀速率太低,无法用于量产,因此主要用于科研或掩模版制作,但电子束曝光机是半导体制造的基础设备。虽然这其中紫外光刻机仍然占据主流,但与《2020光刻设备中标盘点:疫情之后,市场活力回升! 》中的占比相比,其占比少得多,这主要由于科研对光刻速率要求不敏感,而电子束曝光机和激光直写设备可以在一定程度上满足科研需求。光刻设备品牌分布紫外光刻机品牌分布电子束曝光机品牌分布激光直写设备品牌分布从光刻设备的整体品牌分布图可以看到,德国SUSS的光刻设备占比最多达26%,其次为美国ABM和德国Raith分别为13%和9%。需要注意的是,Raith是电子束曝光机厂商。具体到传统紫外光刻机品牌分布可以发现,SUSS占比高达45%,ABM占比达22%,SUSS在科研用紫外光刻机占据主流。全球光刻巨头ASML、尼康和佳能都不在其中,这表明工业用光刻设备和科研用光刻设备的需求不同,厂商也有所不同。在电子束曝光机中,Raith占比达45%。在激光直写设备中,德国Heidelberg占比26%,虽然占比最高,但其未呈现出压倒性优势,而且国内设备厂商苏大维格在此类设备中也占据一定份额。以上三类设备中,只有激光直写设备中前排出现了国产品牌,这可能得益于我国先进的激光技术。光刻设备产地国家分布紫外光刻机产地分布电子束曝光机产地分布激光直写设备产地分布从光刻设备的产地分布可以看出,德国设备最受国内科研用户青睐,占比达45%,而国产设备仅占11%的份额。对于紫外光刻机来说,德国占比46%,美国25%。电子束曝光机的设备中,德日占据主流,德国主要是Raith设备较多,日本凭借其强大的电子显微镜技术也占据一定的市场份额,这主要是由于电子显微镜和电子束曝光机的技术有共通之处。虽然在激光直写设备中,德国设备占比仍然最多,但国产厂商也不甘落后达34%。不同于工业领域的日本厂商和荷兰ASML的垄断,科研领域光刻厂商中,德国企业实力雄厚,涌现出一批实力强大的企业。国产厂商整体虽然占比很低,但在激光直写设备中显示出了强大的活力。本次光刻设备中标盘点,涉及品牌有Raith、SUSS、ABM、Heidelberg、DMO、Nikon、EVG、JEOL、NBL等。其中,各品牌比较受欢迎的产品型号有:德国海德堡多功能无掩膜激光直写机/光刻机-DWL66+DWL66+ 激光光刻系统是具经济效益、具有高分辨率的图形发生器。适用于小批量掩膜版制作和直写需求。DWL66+拥有多种选配模块,例如:正面和背面对准系统;405nm和375nm波长的激光发生器;进阶选配:精度校准和自动上下板加载系统。单面/双面光刻机:EVG 620EVG620 是一款非常灵活和可靠的光刻设备,可配置为半自动也可以为全自动形式。EVG620既可以用作双面光刻机也可以用作150mm硅片的精确对准设备;既可以用作研发设备,也可以用作量产设备。精密的契型补偿系统配以计算机控制的压力调整可以确保良率和掩膜板寿命的大幅提升,进而降低生产成本。EVG620先进的对准台设计在保证精确的对准精度和曝光效果的同时,可以大幅提高产能。德国Raith高分辨电子束曝光机150 TwoRaith 150 Two作为高分辨电子束曝光系统,自推出以来全球销量不容忽视。该系统被广泛地用于研发和纳米技术中心,已证明了系统的24/7使用的稳定性。Raith 150 Two 可实现亚5nm的曝光结构,可处理8”晶元及以下样片。环境屏蔽罩保证了系统的热稳定性,提高设备对实验室环境的容忍度,即使在相对糟糕的实验室环境下,也能保证系统的正常稳定运行。德国 SUSS光刻机MA/BA6MA/BA6掩模和粘结对准器专为最大 150 mm 晶圆尺寸而设计。 MA/BA6用于MEMS 应用、光学元件和复合半导体生产。 它在研究与开发环境中的多方面应用领域非常有说服力,在生产环境中也同样优秀,这得益于其良好的工艺成果。此外,SUSS的MJB系列,ABM的ABM/6/350/NUV/DCCD/M等产品也广受欢迎。
  • 前十月进口额已达103亿元:光刻设备海关进口数据分析
    自美国提出终断该国企业与华为多年的芯片供应以来,研制中国自己的国产芯片提上了我国的发展日程,也是当前中国市场最为紧迫的一项技术,关于芯片技术发展的讨论不仅在专业领域盛行,也成为了普通民众议论的焦点所在。而芯片的制造离不开半导体设备,其中光刻设备是其中的重中之重。但长期以来,我国的光刻机依赖进口严重。2021年是“十四五”开局之年,中国政府也推出了一系列激励政策来鼓励半导体产业发展,明确了半导体产业在产业升级中的重要地位,同时全球自2020年爆发的“芯片荒”在全球范围内愈演愈烈,却迟迟得不到缓解,各行各业都受到了一定的影响,受此影响包括仪器产业、新能源产业等在内的诸多产业都面临产品涨价、缺货的危机。危中有机,全球半导体行业的巨震却是中国半导体产业的发展契机。通过分析海关步进式光刻机的进口情况,可以从一个侧面反映出中国步进式光刻机市场的一些情况,进而了解到中国半导体产业的一些情况。为了解过去2021年中光刻机的进出口情况,仪器信息网特别对2021年1-10月,光刻机(商品编码84862031、84863031)进出口数据进行了分析汇总,为大家了解中国目前光刻机市场做一个参考。需要注意的是,海关数据根据应用领域和原理将光刻机主要分为两类,包括制半导体器件或集成电路用的分步重复光刻机(84862031)和制造平板显示器用的分布重复光刻机(84863031)。2021年1-10月进口光刻设备贸易伙伴变化(人民币/万元)贸易伙伴进口额(元)进口台数日本6632433558323荷兰338018337954中国台湾503477816韩国13380990821美国9054011711德国675960913奥地利73409695瑞士47387851英国12967001斯洛文尼亚7982731法国79688932021年1-10月进口贸易伙伴进口额(人民币/元)2021年1-10月,中国进口光刻设备总额约103亿元,其中日本进口金额最多达66亿元,台数达323台。可以看出,虽然从日本进口的光刻机金额较多,但其均价远低于从荷兰进口的设备均价,这表明低端光刻机仍是进口主流,且多从日本进口。值得注意的是,此前【进口金额155亿元,进口数量增长42%!】统计过的数据显示,2020年进口光刻机以荷兰进口为主,这其中的变化趋势可能与贸易制裁有关,2018年中芯国际曾向ASML订购了一台价值1.2亿美元的EUV光刻机,在美国的阻挠下,至今仍未到货,或许影响了相关企业对其光刻机的采购,从光刻设备的贸易伙伴变化趋势可以看出,前两名只有日本和荷兰在竞争,而日本的光刻机进口额逐渐脱颖而出。2021年1-10月不同类光刻设备进口数据(人民币/万元)从进口设备金额可以看出,在5月份,制半导体器件或集成电路用的分步重复光刻机(84862031)的进口额出现一个高峰。这可能是由于从荷兰进口设备金额增加,荷兰产光刻机主要是高端产品,单价较高,对进口额的变化影响较大,而且目前荷兰的高端光刻机的不可替代性较强,但在低端产品上目前企业正逐渐寻求替代。整体来看,两类光刻机需求量接近,目前除了用于半导体器件和集成电路的光刻机外,用于平板显示器的光刻机也是一大市场。制造平板显示器用的分布重复光刻机不同于集成电路和半导体器件用光刻机,从其进口额分布可以看出,此类光刻机市场被日本企业牢牢把控。目前我国已成为世界平板显示器生产大国,拥有了一批巨头企业,但目前该领域的设备仍大量依靠进口,未来不排除受到美国制裁的可能性,须早做准备和布局。1-10月光刻机进口注册地变化图(单位:人民币/万元)那么这些光刻机主要销往何处?通过对进口数据的注册地进行分析发现,重庆市、湖南省、江苏省、四川省和安徽省进口额最多,这表明这些地区在新建生产线上投入较大,对光刻机需求也在激增。以重庆市为例,重庆在此前发布了半导体产业发展报告,对未来五年有一个明确的发展方案,根据规划,到2022年,重庆集成电路在功率半导体、存储芯片等领域将进入全国前列;新型显示领域加快突破超高清、大尺寸套切等技术和工艺的研发应用。2022年,重庆半导体全产业争取实现产值650亿元(集成电路350亿元;新型显示产业300亿元)。
  • 三星旗下Semes成功开发ArF-i光刻涂胶/显影设备
    三星电子旗下的晶圆厂设备公司Semes成功开发出一种ArF-i浸润式光刻涂胶/显影设备。该公司6月24日表示,第一台名为“Omega Prime”的设备已于去年供货,Semes正在制造第二台设备。迄今为止,韩国芯片制造商在设备方面严重依赖外国进口,三星每年花费达数千亿韩元。据了解,涂胶设备用于曝光前,对晶圆进行光刻胶涂布。在完成光刻后,需由显影设备进行光刻图案的显影。Semes目前已制造出KrF光刻涂胶/显影设备,并在此基础上开发了ArF版本,以支持波长更短的新型光刻机。据业界报道,目前东京电子(Tokyo Electron)拥有ArF-i光刻涂胶/显影设备全球90%以上的市场份额。Semes表示,在Omega Prime设备上应用了喷嘴、烘烤温度和机器人位置自动调整系统,以消除涂布层的偏差。
  • 某国产光刻胶产业化项目拟投资实施,购置仪器设备520 余台(套)
    12月16日,富湖北鼎龙控股股份有限公司(以下简称“鼎龙股份”)发布公告,为助力推动半导体 KrF/ArF 光刻胶的国产替代进程,同时进一步丰富公司业务板块,加速实现公司进口替代“创新材料平台型企业”的战略发展目标,公司全资子公司鼎龙(潜江)新材料有限公司(以下简称“潜江新材料”)布局 KrF/ArF光刻胶。鼎龙股份于 2023年12月22日召开第五届董事会第十四次会议,审议通过了《关于全资子公司实施增资扩股并与员工持股平台共同投资建设年产300吨 KrF/ArF 光刻胶产业化项目的议案》,同意公司对潜江新材料实施增资并以增资扩股方式引入两家员工持股平台及一家新进投资方共同投资建设年产 300 吨KrF/ArF 光刻胶产业化项目。本次 KrF/ArF 光刻胶项目拟投资实施的主要内容1、项目名称:年产300吨KrF/ArF光刻胶产业化项目2、实施地点:湖北省潜江市江汉盐化工业园长飞大道 1 号3、实施主体:鼎龙(潜江)新材料有限公司4、资金来源:公司自有或自筹资金5、建设内容及规模:新建主体生产厂房、动力中心、中控室、仓库、罐区等建筑面积 16,620.94 平方米,购置反应釜、混配釜、储液罐、离心机、质谱仪等仪器设备 520 余台(套),配套建设安全环保设施。项目投产后形成年产 300吨 KrF/ArF 光刻胶的生产能力。6、项目投资估算:本项目预计总投资额为80,395.30万元,总投资计划包括:产业化工程建设投资、研发及检测设备购置及安装费用、铺底流动资金等,预计年产产能为300吨,最终项目投资总额及产业化规模以实际投资为准。本次投资审议有1.5亿元注册资本,后续投资资金的缺口将由潜江新材料自筹解决。半导体光刻胶是由感光树脂、增感剂和溶剂三种主要成分组成的对光敏感的混合液体,半导体光刻胶按照光刻波长分为紫外光谱、g线(436nm)、i线(365nm)、KrF(248nm)、ArF(193nm)、EUV(13.5nm)。半导体光刻胶是半导体光刻工艺中的关键材料,光刻胶及其配套试剂在晶圆制造材料成本中占比超过10%,半导体光刻胶的分辨率、对比度、感光速度等技术指标和质量一致性,直接影响到集成电路的性能、良品率、可靠性以及生产效率,其技术壁垒极高,因此被誉为半导体材料“皇冠上的明珠”。根据 TECHET 数据,2021 年全球半导体光刻胶市场中,合成橡胶(JSR)、东京应化(TOK)、信越、杜邦、住友化学、富士等企业占据的市场份额合计超过 90%,用于先进工艺的 KrF、ArF、EUV 光刻胶基本由该等外资厂商垄断。相比之下,中国光刻胶行业发展起步较晚,国产光刻胶主要用于平板显示、印刷电路板等领域,用于晶圆制造、先进封装的半导体光刻胶严重依赖进口。近年来,经过国内半导体光刻胶厂商的不懈努力,国内厂商已实现 g/i 线光刻胶的量产,但在更为先进的 KrF、ArF、EUV 光刻胶领域尚未实现大规模量产。在国际市场技术封锁、国内半导体产业加速发展的大背景下,KrF、ArF 光刻胶因其覆盖了从 0.25µm 到 7nm 的主要半导体先进制造工艺,是现阶段迫切需要实现国产化技术突破的半导体关键材料。因此,尽快实现高端光刻胶材料的国产化、产业化具有十分重要的战略意义和经济价值。经过多年快速发展,鼎龙股份已在半导体材料业务方面取得了显著成绩,积累了深厚的市场资源和客户基础。其中:半导体 CMP 制程工艺材料国产供应渗透程度稳步加深、半导体显示材料进入加速放量阶段、半导体先进封装材料产品开发、验证及量产导入工作按计划快速推进,半导体材料业务的快速发展带动了公司的业绩增长。为实现业务的可持续发展和利润的可持续增长,公司在保持现有业务稳健成长的同时,积极布局高端光刻胶赛道,努力打造新的盈利增长点。该项目的实施,将进一步丰富鼎龙股份的业务板块、步入高端光刻胶关键赛道,有利于公司进一步优化半导体材料业务的产品结构、扩大市场份额、新增利润增长点。
  • 因关键检测设备采购周期延长,南大光电光刻胶项目延期
    南大广电日前发表公告称,公司募投项目“光刻胶项目”(以下简称“项目”)总投资额为66,000.00万元,计划使用募集资金15,000.00万元,原计划于2021年12月31日完成建设。项目实施主体为公司控股子公司宁波南大光电材料股份有限公司(以下简称“宁波南大光电”)。但南大光电在公告中指出,项目在实际投入过程中受到新冠疫情、客户验证需求变化、公司实际经营情况等多重因素的影响,尤其是项目所需的缺陷检测等关键设备采购周期延长,安装、调试工作也相应后移,导致该项目建设进度不及预期。公司在保持募集资金投资项目的实施主体、投资总额和资金用途等均不发生变化的情况下,根据募集资金投资项目当前的实际建设进度,计划将该项目的建设完成期限由原计划2021年12月31日延长至2022年12月31日。公司将继续通过统筹协调全力推进,力争早日完成该项目建设。他们同时强调,截至2021年12月31日,项目已累计投入45,316.28万元,全部由公司自有资金出资。宁波南大光电在2021年具体实施项目时,为满足项目建设所需采购的付款进度需要,优先使用了自有资金投入建设。本项目剩余资金缺口,公司将继续使用募集资金15,000.00万元满足其投资需求。根据公司与宁波南大光电的约定,上述募集资金使用将通过向宁波南大光电提供借款的方式进行,同时为了防止出现宁波南大光电以明显偏低成本占用上市公司资金从而损害投资者利益,还约定了该等借款将参考届时银行同期贷款利率计算利息。公告同时指出,公司扩建2,000吨/年三氟化氮生产装置项目按原计划继续进行,建设期不变。公告表示,本次募投项目的延期,是公司充分考虑了项目建设进度的实际情况做出的审慎决定。该事项仅涉及项目建设进度变化,未调整募投项目的实施主体、投资总额和资金用途,不存在改变或变相改变募集资金投向和其他损害股东利益的情形。本次公司募投项目的延期,不会对公司当前的生产经营造成重大影响。由于在项目后续具体建设过程中,仍可能存在各种不可预见因素,敬请广大投资者注意投资风险。在日前接受投资者提问的时候,南大广电表示,公司目前已经建成了两条arf光刻胶生产线,合计产能为25顿。而公司的arf光刻胶也有少量发货。南大光电“02专项”项目前程提要在2018年,南大光电曾发表关于实施国家“02专项”ArF光刻胶产品的开发 与产业化的可行性研究报告。报告指出,江苏南大光电材料股份有限公司(以下简称“南大光电”、“公司”、 “本公司”)成立于2000年12月,注册资本27,346.88万元,为全球MO源主要供应商之一。南大光电经过多年的技术积累及创新,已经拥有完全自主知识产权的MO源独特生产技术。作为全球MO源的主要供应商,产品在满足国内需求时,已远销日本、台湾,韩国、欧洲和美国。公司获得了ISO9001质量认证体系、ISO14001环境认证体系及OHSAS18001职业健康体系的认证。公司2012年8月7日在深圳证券交易所创业板成功上市。公司目前拥有MO源、电子特气、光刻胶三大业务板块,努力成为国际一流的MO源供应商、国内领先的电子特气供应商和国内技术最领先的光刻胶供应商并力争在五到十年内发展成为国际上优秀的电子材料生产企业。而公司拟投资65,557万元实施“193nm(ArF)光刻胶材料开发和产业化”项目,项目实施主体宁波南大光电材料有限公司是本公司的全资子公司。按照他们所说,193nm(ArF)光刻胶和MO源都属于高纯电子材料,在生产工艺、分析测试等方面有一定的相似性,公司现有的很多生产技术和管理经验可以直接应用到此项目中。南大光电经过多年的技术积累及创新,已经拥有完全自主知识产权的MO源独特生产技术。在产品的合成、纯化、分析、封装、储运及安全操作等方面均已经达到国际先进水平。同时,为了此次项目的开发,南大光电已完成1500平方米研发中心的建设工作。根据规划,公司将通过3年的建设、投产及实现销售,达到年产25吨193nm(ArF干式和浸没式)光刻胶产品的生产规模。产品满足集成电路行业需求标准,同时建成先进光刻胶分析测试中心和高分辨率光刻胶研发中心,为公司新的高端光刻胶产品的研发和产业化提供技术保障。目前本项目的主要建设内容为生产车间、分析测试中心、研发中心、仓库、水电、道路等配套设施的建设。他们在报告中指出,作为集成电路制造最为关键的基础材料之一——高档光刻胶材料(如:ArF光刻胶),几乎完全依赖于进口。这种局面已经严重制约了我国集成电路产业的自主发展。更有甚者,我国集成电路工业使用的高档光刻胶中,80%以上都是从日本一个国家进口(剩余的部分从美国进口)。这样垄断式的依赖格局使得中国集成电路产业在我国发生严重自然灾害、政治冲突、商业冲突或军事冲突时受到严重的负面影响。从产品性质方面分析,相较于可以长时间保存(3年左右,甚至更长)的大硅片和先进制造设备, 高档光刻胶的保质期很短(6个月左右,甚至更短)。一旦遇到上述的自然灾害或冲突,我国集成电路产业势必面临芯片企业短期内全面停产的严重局面。因此,尽快实现全面国产化和产业化高档光刻胶材料具有十分重要的战略意义和经济价值。但南大光电也强调,ArF光刻胶产品的配方包括成膜树脂、光敏剂、添加剂和溶剂等组分材料。是否能够将各个组分的功能有效地结合在一起,关系到光刻胶配方的成败,这是调制光刻胶配方的最大挑战和难点,也是一个光刻胶公司技术能力的基本体现。国际上只有为数很少的几家光刻胶公司可以做到产品级 ArF光刻胶配方的调制。针对此种情况,一方面,我们可以进行外部引“智”,从光刻胶技术先进的美国和日本等国家引进相关领域的专家。另一方面,我们应该进行内部寻“智”,联合国内光刻胶的研究单位,积极培养国内的光刻胶研发人才。通过人才的“内外结合”,我们将自主研发出国产ArF光刻胶产品。同时,我们又可以此团队为基础,建设属于我国自己本土的光刻胶人才队伍,为公司先进光刻胶产品的升级换代和我国集成电路行业的后续发展奠定基础。
  • 卡尔蔡司扩建光刻设备光学元件工厂并扩建光掩模研发设施
    卡尔蔡司半导体制造技术公司(ZEISS SMT)是卡尔蔡司的子公司,生产半导体光刻设备的光学元件,宣布在德国黑森州韦茨拉尔(Wetzlar)开始建设一座用于DUV光刻设备光学元件的新工厂。 计划于2025年完工。新工厂计划竣工示意图(资料:卡尔蔡司)Wetzlar的生产基地生产DUV光刻设备的光学元件已有20多年的历史,但该公司表示,随着工业4.0、自动驾驶和5G等大趋势推动对半导体制造设备的需求,现有工厂的制造能力已达到极限,它将随着新工厂的建设而提高产量。 新工厂的生产面积将超过1,2000m2,将创造150个新工作岗位。Wetzler的现有工厂(380名员工)也在测试各种自动化新概念,并将结果纳入新工厂,并特别注意用于敏感测量的无振动结构,因为DUV光刻设备的光学产品需要纳米级精度。蔡司SMT最大的客户ASML将公司的大量积压归因于曝光设备光学镜头供应不足,这也提高了对蔡司SMT新工厂运营的期望。扩大德国研发基地卡尔蔡司还宣布,到2026年底,将投资超过2000万欧元扩建其位于德国黑森州罗斯多夫的光掩模研发设施。 该设施将增加一个300平方米的洁净室,并开发一个以纳米精度修复光掩模缺陷的系统。基于卡尔蔡司电子束技术的MeRiT系统甚至可以以纳米精度修复光掩模中的最小缺陷,许多半导体制造商使用该系统来修复光掩模。 由于半导体不断小型化、精密化和节能化,因此不断开发掩模修复系统也至关重要。
  • 龙图光罩IPO:购置5.4亿元仪器设备,光刻机等主要从日本等地进口
    仪器信息网讯 近日,深圳市龙图光罩股份有限公司(以下简称:龙图光罩)公布“首次公开发行股票并在科创板上市招股说明书(申报稿)”,招股书显示,龙图光罩拟募资6.6亿元,募集资金投资项目为高端半导体芯片掩模版制造基地项目、高端半导体芯片掩模版研发中心项目和补充流动资金项目,募资主要用于设备购置及安装等。相关资料显示,在半导体掩模版领域,中国大陆第三方半导体掩模版生产企业主要集中在 130nm 及以上制程节点,130nm 及以下制程节点掩模版仍严重依赖进口,国内供应还有较大缺口。根据贝恩咨询数据,2020 年全球 130nm 制程以上的晶圆制造商营收为 941 亿元人民币,130nm-65nm 制程节点的营收为 848 亿元,45nm-28nm 制程节点的营收为 1015 亿元,在 130nm 制程以下的半导体掩模版有着广阔的市场空间。为不断地提高研发实力,加强基础性研究,实现公司产品的不断技术升级,龙图光罩拟新建高端半导体芯片掩模版研发中心,项目围绕高端半导体芯片掩模版的研发与生产课题,计划通过持续加大研发投入和资金投入,逐步实现 90nm、 65nm 以及更高节点的高端制程半导体掩模版的量产与国产化配套。研发成果的实现有利于保持龙图光罩在半导体掩模版领域的技术领先地位,提升我国半导体掩模版制造技术水平。主营业务和技术据了解,龙图光罩主营业务为半导体掩模版的研发、生产和销售,是国内稀缺的独立第三方半导体掩模版厂商。公司紧跟国内特色工艺半导体发展路线,不断进行技术攻关和产品迭代,半导体掩模版工艺节点从 1μm 逐步提升至 130nm,产品广泛应用于功率半导体、MEMS 传感器、IC 封装、模拟 IC 等特色工艺半导体领域,终端应用涵盖新能源、光伏发电、汽车电子、工业控制、无线通信、物联网、消费电子等场景。招股书显示,龙图光罩已掌握 130nm 及以上节点半导体掩模版制作的关键技术,形成涵盖 CAM、光刻、检测全流程的核心技术体系。在功率半导体掩膜版领域,工艺节点已覆盖全球功率半导体主流制程的需求。龙图光罩主要产品如下:募集资金具体运用情况一、高端半导体芯片掩模版制造基地项目高端半导体芯片掩模版制造基地项目位于珠海市高新区金鼎片区金鼎中路东、金瑞二路北侧。本项目主要从事掩模版的生产,预计年产掩模版 12.5 万片/年。项目总投资为 66,942.07 万元,具体金额及资金使用计划如下表所示:项目建设期为三年,项目建设周期规划为以下几个阶段:初步设计、建安 工程、设备购置及安装、人员招聘与培训、系统调试及验证及试运行等 6 个阶段, 具体的项目建设进度安排如下:二、高端半导体芯片掩模版研发中心项目本项目总投资为 3,320.00 万元,预计公司投入募集资金 3,320.00 万元。具体 金额及资金使用计划如下表所示:项目建设期为三年,工程建设周期规划为以下几个阶段:设备购置及安装、 人员招聘与培训和试运行等阶段,具体的项目进度安排如下:主要仪器设备情况及供应商半导体掩模版行业的主要生产设备昂贵,对相关企业资本投入要求较高。近三年,龙图光罩采购光刻机台数及平均单价情况如下:随着工艺节点的提升,全流程生产设备均需要升级,资本投入将被迫大幅上升。截止去年12月31日,主要生产设备情况如下:随着本次募投项目的实施,龙图光罩将将引入多台电子束光刻机、干法刻蚀机、高端 AOI 检测设备等,相应固定资产金额亦将大幅提升。据披露,公司主要生产设备,如光刻机主要向境外供应商采购,包括瑞典 Mycronic、德国 Heidelberg、日本 JEOL 等。采购依赖于境外且集中度较高,若国际贸易出现极端变化,或供应商自身经营状况、交付能力发生重大不利变化,将对公司的生产经营产生不利影响。
  • 光芯片技术攻关及产业化立项,将新增光刻、刻蚀等设备20余台
    5月23日,武汉敏芯半导体有限公司用于5G数据中心高速光芯片核心技术攻关及产业化项目立项。公示信息显示,该项目针对目前国内高端芯片严重依赖进口的现状,基于5G通信对高速光芯片的要求,开展相关 DFB光芯片技术和工艺研究,完成5G高速光芯片中高带宽,宽温工作的技术难题攻关;建设高速芯片生产制造线,完成产品的产业化及“国产化”替代,加快光通信产业升级。此外,项目将新增2英寸晶圆工艺的光刻机、反应离子刻蚀设备、芯片测试机和网络分析仪等设备共20余台,年产能增加2000万只,产值增加2亿元。
  • 191万!汕头大学计划采购数字掩模光刻机等设备
    一、项目基本情况项目编号:GPCGD231156HG009J项目名称:汕头大学物理系先进光学与光子学研究中心设备采购项目采购方式:公开招标预算金额:1,918,000.00元采购需求:合同包1(数字掩模光刻机):合同包预算金额:920,000.00元品目号品目名称采购标的数量(单位)技术规格、参数及要求品目预算(元)1-1教学仪器数字掩模光刻机1(套)详见采购文件920,000.00本合同包不接受联合体投标合同履行期限:合同签订后30天内交付使用。合同包2(服务器):合同包预算金额:998,000.00元品目号品目名称采购标的数量(单位)技术规格、参数及要求品目预算(元)2-1服务器双路高性能服务器2(台)详见采购文件499,000.002-2服务器四路高性能服务器1(台)详见采购文件499,000.00本合同包不接受联合体投标合同履行期限:合同签订后30天内交付使用。二、申请人的资格要求:1.投标供应商应具备《政府采购法》第二十二条规定的条件,提供下列材料:1)具有独立承担民事责任的能力:在中华人民共和国境内注册的法人或其他组织或自然人, 投标(响应)时提交有效的营业执照(或事业法人登记证或身份证等相关证明) 副本复印件。分支机构投标的,须提供总公司和分公司营业执照副本复印件,总公司出具给分支机构的授权书。2)有依法缴纳税收和社会保障资金的良好记录:提供投标截止日前6个月内任意1个月依法缴纳税收和社会保障资金的相关材料。 如依法免税或不需要缴纳社会保障资金的, 提供相应证明材料。3)具有良好的商业信誉和健全的财务会计制度:供应商必须具有良好的商业信誉和健全的财务会计制度(提供2021年度财务状况报告或基本开户行出具的资信证明) 。4)履行合同所必需的设备和专业技术能力:按投标(响应)文件格式填报设备及专业技术能力情况。5)参加采购活动前3年内,在经营活动中没有重大违法记录:参照投标(报价)函相关承诺格式内容。 重大违法记录,是指供应商因违法经营受到刑事处罚或者责令停产停业、吊销许可证或者执照、较大数额罚款等行政处罚。(根据财库〔2022〕3号文,“较大数额罚款”认定为200万元以上的罚款,法律、行政法规以及国务院有关部门明确规定相关领域“较大数额罚款”标准高于200万元的,从其规定)2.落实政府采购政策需满足的资格要求:合同包1(数字掩模光刻机)落实政府采购政策需满足的资格要求如下: 包组1专门面向中小企业采购。供应商提供的所有产品须全部由中小企业生产且使用该中小企业商号或注册商标。中小企业须符合本项目采购标的对应行业(工业)的政策划分标准。监狱企业、残疾人福利单位视同小型、微型企业。注:中小企业应填写的《中小企业声明函》(见投标格式)为判定标准,残疾人福利性单位填写的《残疾人福利性单位声明函》(见投标格式)为判定标准,监狱企业须供应商提供由省级以上监狱管理局、戒毒管理局(含新疆生产建设兵团)出具的属于监狱企业的证明文件,否则不予认定。3.本项目的特定资格要求:合同包1(数字掩模光刻机)特定资格要求如下:(1)供应商未被列入“信用中国”网站(www.creditchina.gov.cn)“记录失信被执行人或重大税收违法失信主体或政府采购严重违法失信行为记录名单”;不处于中国政府采购网(www.ccgp.gov.cn)“政府采购严重违法失信行为信息记录”中的禁止参加政府采购活动期间。(以集中采购机构于投标截止日当天在“信用中国”网站(www.creditchina.gov.cn)及中国政府采购网(http://www.ccgp.gov.cn/)查询结果为准,如相关失信记录已失效,报价人需提供相关证明资料)。(2)单位负责人为同一人或者存在直接控股、 管理关系的不同供应商,不得同时参加本采购项目(或采购包) 投标(响应)。 为本项目提供整体设计、 规范编制或者项目管理、 监理、 检测等服务的供应商, 不得再参与本项目投标(响应)。 投标(报价) 函相关承诺要求内容。(3)本项目不接受联合体投标,不接受合同分包。合同包2(服务器)特定资格要求如下:(1)供应商未被列入“信用中国”网站(www.creditchina.gov.cn)“记录失信被执行人或重大税收违法失信主体或政府采购严重违法失信行为记录名单”;不处于中国政府采购网(www.ccgp.gov.cn)“政府采购严重违法失信行为信息记录”中的禁止参加政府采购活动期间。(以集中采购机构于投标截止日当天在“信用中国”网站(www.creditchina.gov.cn)及中国政府采购网(http://www.ccgp.gov.cn/)查询结果为准,如相关失信记录已失效,报价人需提供相关证明资料)。(2)单位负责人为同一人或者存在直接控股、 管理关系的不同供应商,不得同时参加本采购项目(或采购包) 投标(响应)。 为本项目提供整体设计、 规范编制或者项目管理、 监理、 检测等服务的供应商, 不得再参与本项目投标(响应)。 投标(报价) 函相关承诺要求内容。(3)本项目不接受联合体投标,不接受合同分包。三、获取招标文件时间: 2023年02月10日 至 2023年02月17日 ,每天上午 00:00:00 至 12:00:00 ,下午 12:00:00 至 23:59:59 (北京时间,法定节假日除外)地点:广东省政府采购网https://gdgpo.czt.gd.gov.cn/方式:在线获取售价: 免费获取四、提交投标文件截止时间、开标时间和地点2023年03月06日 09时30分00秒 (北京时间)递交文件地点:广州市越华路112号珠江国际大厦 307室(投标文件在线提交,网上直播开标)开标地点:广州市越华路112号珠江国际大厦 307室(投标文件在线提交,网上直播开标)五、公告期限自本公告发布之日起5个工作日。六、其他补充事宜1.本项目采用电子系统进行招投标,请在投标前详细阅读供应商操作手册,手册获取网址:https://gdgpo.czt.gd.gov.cn/help/transaction/download.html。投标供应商在使用过程中遇到涉及系统使用的问题,可通过020-88696588 进行咨询或通过广东政府采购智慧云平台运维服务说明中提供的其他服务方式获取帮助。2.供应商参加本项目投标,需要提前办理CA和电子签章,办理方式和注意事项详见供应商操作手册与CA办理指南,指南获取地址:https://gdgpo.czt.gd.gov.cn/help/problem/。3.如需缴纳保证金,供应商可通过"广东政府采购智慧云平台金融服务中心"(http://gdgpo.czt.gd.gov.cn/zcdservice/zcd/guangdong/),申请办理投标(响应)担保函、保险(保证)保函。4.需要落实的政府采购政策:《政府采购促进中小企业发展管理办法》(财库〔2020〕46号)、《关于政府采购支持监狱企业发展有关问题的通知》(财库〔2014〕68号)、《关于促进残疾人就业政府采购政策的通知》(财库〔2017〕141号)、《关于调整优化节能产品、环境标志产品政府采购执行机制的通知》(财库〔2019〕9号)等。本项目为货物类项目,其中小企业划分标准所属行业为:工业。5.云平台操作过程中如有相关问题可通过广东省政府采购网(http://gdgpc.czt.gd.gov.cn)下载操作手册查询,或通过云平台公布的在线客服、微信/QQ群、专线电话等方式咨询。6.请投标/报价人按“远程开标”有关要求,在投标/报价截止时间前上传加密的电子投标/报价文件,未按要求上传的将视为自动放弃投标/报价。7.在开标/唱价截止时间前,请各投标/报价人核实并确认填写授权代表的姓名与手机号码,若因填写的授权代表信息有误而导致的不良后果,由供应商自行承担。8.开标/唱价时,供应商应当使用编制本项目(采购包)电子投标/报价文件时加密所用数字证书开始解密,解密时限为主持人开启远程解密起30分钟内完成。各供应商在参加开标/唱价之前须自行对使用电脑的网络环境、驱动安装、客户端安装以及数字证书的有效性等进行检测,确保可以正常使用。七、对本次招标提出询问,请按以下方式联系。1.采购人信息名 称:汕头大学地 址:汕头市大学路243号联系方式:0754-865029882.采购代理机构信息名称:广东省政府采购中心地 址:广东省广州市越秀区越华路112号珠江国际大厦3楼联系方式:020-83186823(邮箱:xiey @gd.gov.cn)3.项目联系方式项目联系人:谢洋电 话:020-83186823(邮箱:xiey @gd.gov.cn)广东省政府采购中心2023年02月10日
  • 升级光刻机管制!荷兰发布先进半导体制造设备出口禁令
    6月30日,荷兰政府发布公告,9月1日,先进半导体制造设备的额外出口管制措施将生效。从那时起,某些先进半导体制造设备的出口将受到国家授权要求的约束。该部长令于3月8日在致众议院的一封信中宣布,并于今天在《政府公报》上公布。对外贸易和发展合作部长Liesje Schreinemacher说,我们采取这一步骤是出于国家安全考虑。对于将受到影响的公司来说,知道他们可以期待什么是好事。这将给他们所需的时间来适应新规则根据这项部长令,现在有必要为某些类型的先进半导体制造设备的出口申请出口许可。该订单涉及先进半导体开发和制造的一些非常具体的技术。由于它们的具体使用方式,这些半导体可以为某些先进的军事应用做出关键贡献。因此,货物和技术的无管制出口可能构成国家安全风险。荷兰在这方面负有额外的责任,因为该国在这一领域具有独特的领导地位。与一般的出口管制政策一样,这一额外步骤是不针对国家的。“我们仔细考虑了这一决定,并尽可能准确地起草了部长令。这位部长说,这样,我们就可以解决最重要的漏洞,而不会对全球芯片制造造成不必要的干扰。据媒体报道,荷兰在先进半导体出口限制中除了EUV光刻机、较为先进的DUV光刻机外,还包括了ALD设备以及一些SiC外延设备。以下为使用谷歌翻译软件翻译的荷兰语公告:
  • 第一轮通知!2024 全国半导体设备和材料标准化技术委员会微光刻分技术委员会第五届年会暨第十四届微光刻技术交流会
    各位委员、各个单位:兹定于 2024年10月27-30日在上海举行“全国半导体设备和材料标准化技术委员会微光刻分技术委员会第五届年会暨第十四届微光刻技术交流会”会议有关事宜通知如下:一、会议信息:(一)会议议程:10月27日报到,28-29日安排会议,30日返程(二)会议地点:上海中兴和泰酒店(上海市浦东新区浦东张江高科科苑路866号)(三)指导单位:全国半导体设备和材料标准化技术委员会。(四)主办单位:全国半导体设备和材料标准化技术委员会微光刻分技术委员会。(五)承办单位:上海光源,中国科学院上海高等研究院。(六)参会人员:全国半导体设备和材料标准化技术委员会微光刻分技术委员会全体委员、代表及国内外微光刻标准化技术的嘉宾和朋友。(七)第二轮通知将于2024年10月08日发出。二、会议收费与住宿1、本届会议不收取会议费用,交通费用及食宿费用自理。住宿:上海中兴和泰酒店(上海市浦东新区浦东张江高科科苑路 866 号)。单人间:550元人民币/天/人,标准间:550元人民币/天/2人,(均含双早)。2、交通信息参考路线建议-机场:(1)上海虹桥国际机场--上海中兴和泰酒店地铁2号线直达:2号航站楼地铁入口--地铁2号线(浦东国际机场方向)--乘坐 17站-张江高科站2出口-中兴和泰酒店,【时长:1小时4分钟,总费用:6元】 (2)上海浦东国际机场--上海中兴和泰酒店地铁2号线直达:浦东国际机场站入口--地铁2号线(徐泾东方向)--乘坐 10站-张江高科站2出口-中兴和泰酒店,【时长:58分钟,总费用:5元】 路线建议-火车站:(1)虹桥火车站--上海中兴和泰酒店地铁:虹桥火车站地铁入口--地铁2号线(浦东国际机场方向)--乘坐 18 站-张江高科站2 出口-中兴和泰酒店,【时长:1小时5分钟,总费用:6元】 (2)上海火车站--上海中兴和泰酒店地铁:上海火车站地铁入口--地铁4号线内圈(宜山路方向)--乘坐 18 站-张江高科站2出口-中兴和泰酒店,【时长:1小时5分钟,总费用:6元】 (3)上海南站--上海中兴和泰酒店地铁:上海南站地铁入口--地铁1号线(富锦路方向)-人民广场站下车 换乘地铁2号线(浦东国际机场方向)--张江高科站2出口-中兴和泰酒店,【时长:1小时3分钟,总费用:5元】 三、会议联系人1、标委会秘书处会务组联系人 :郝美玲:18551384180 semiml@126.com 微信:h85786757陈宝钦:13661034296 chenbq@ime.ac.cn 微信:chenbg4207022、上海光源、中国科学院上海高等研究院会务组联系人李红红:13536512860,lihh@sari.ac.cn 龙家丽:17717636503 1ongil@sari.ac.cn 微信:wxid 9maiawdpke8122四、参会回执请在 2024年9月28日前把回执(文末附件)及申请做报告的文稿题目(欢迎发送电子版PPT 文件)发至微光刻分技术委员会行政秘书处专用电子邮箱地址:semiml@l26.com并抄送到 chenbg@ime.ac.cn 以便主办方安排会务、住宿及会议资料文集。附件 第一轮通知附件2-回执参会反馈表2024.7.28.doc
  • Intel EUV极紫外光刻设备进厂:冲刺“4nm”工艺
    位于爱尔兰莱克斯利普(Leixlip)、投资70亿美元的Intel Fab 34晶圆厂迎来重要时刻:一台光刻胶显影设备(lithography resist track)缓缓进入工厂,这也是该厂的第一台巨型芯片制造工具。该设备来自Intel美国俄勒冈州工厂,搭乘飞机越过大西洋,来到了爱尔兰。这台设备将与EUV极紫外扫描仪搭档,首先为硅晶圆覆上精密的涂层,然后进入EUV扫描仪,进行曝光,接着晶圆回到光刻设备,再进行一系列的高精密光显影、清理操作。一座典型的晶圆厂包含大约1200台先进制造设备,大部分价值都在百元美元级别。Intel Fab 34晶圆厂2019年动工建设,计划2023年正式投产,将会把Intel在爱尔兰的产能翻一番,并为未来生产Intel 4工艺铺平道路——严格来说是Intel 7nm,但是官方重新命名,认为它可以媲美行业4nm水平。Alder Lake 12代酷睿、Raptor Lake 13代酷睿都是Intel 7工艺(10nm ESF),Meteor Lake 14代酷睿和代号Granite Rapids的下下代至强都将用上Intel 4工艺。官方透露,新工艺研发进展顺利,芯片测试已经完美通过,SRAM、逻辑单元、模拟单元都符合规范,去年第二季度还早早完成了Meteor Lake计算单元模块的流片。Intel现阶段正在全球建设、升级晶圆厂,除了爱尔兰还有美国本土的亚利桑那州、新墨西哥州、俄勒冈州,以及马来西亚,投资上百亿美元,很快还会宣布在欧洲、美国的更多晶圆厂建设计划。
  • ASML:数值孔径0.75超高NA EUV光刻设备2030年登场
    据日本媒体报导,光刻机设备龙头阿斯麦(ASML)执行副总裁Christophe Fouquet近日在比利时imec年度盛会ITF World 2023表示,半导体产业需要2030年开发数值孔径0.75的超高NA EUV光刻技术,满足半导体发展。Christophe Fouquet表示,自2010年以来EUV技术越来越成熟,半导体制程微缩至2020年前后三年,以超过50%幅度前进,不过速度可能会在2030年放缓。故ASML计划年底前发表首台商用High-NA(NA=0.55)EUV微影曝光设备(原型制作),2025年量产出货。2025年开始,客户就能从数值孔径为0.33传统EUV多重图案化,切换到数值孔径为0.55 High-NA EUV单一图案化,降低制程成本,提高产量。High-NA EUV预估会有五大客户:英特尔、台积电、三星、SK海力士、美光,可最早使用设备。科林研发、柯磊、HMI和JSR及TEL等正与ASML合作,开发High-NA EUV材料与特用化学品。Fouquet表示,EUV光源输出功率一直稳步增加,ASML传统型号EUV光源输出功率为250W~300W,最新型号3600D增加到350W,现在研究层面已做到600W,800W指日可待。到2030年,使用High NA EUV的多重图案将与单一图案一起完成,以提高产量,并降低制程成本,需要更高数值孔径的EUV曝光(NA=0.75)。藉DUV、ArF、EUV和High-NA EUV技术形成图案的每个晶体管成本都不断变化,考量到新技术价格一定高于EUV每套3亿美元,High-NA EUV价格将非常可观,但仍取决于客户要求和开发成本。
  • 晶瑞光刻机的前世今生:来之不易的光刻机
    光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,近日却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。苏州晶瑞曾先后承担国家“863”、“02”等重大专项,为微电子材料国产化做出了重要贡献。近日,苏州晶瑞发布公告称购得ASML XT 1900 Gi型光刻机一台,声称取得突破性进展,意义重大。目前设备于已运抵苏州并成功搬入公司高端光刻胶研发实验室。而此次购买旨在研发出更高端的ArF光刻胶,并最终实现应用于12英寸芯片制造的战略布局。甚至,相关媒体称这台光刻机将用于28nm光刻胶研发。据了解,这是一台13年前的ASML的DUV光刻机,总价款为 1102.5 万美元(折合 7508 万人民币)。然而,小编在网上和ASML官网并未查找到该型号光刻机的信息。最近,有网友透露了这款光刻机的相关信息,让读者可以一窥这款光刻机的的前世今生。据悉,这台光刻机是中国大陆最早一台浸没式光刻机,由当年无锡海力士采购。几年后,海力士发生大火,火扑灭之后,海力士无奈之下花了大价钱,去原厂维修之后就运回韩国,做其他产品去了。13年后,SK hynix淘汰旧设备,这台机器进入中国大陆公司视野。光刻机一直是国内半导体产业采购的难点,特别是ASML的光刻机更是供不应求。面对这次来自不易的机会,去年9月底,晶瑞就发布公告说,准备向韩国芯片厂商SK海力士购买ASML光刻机。未来在对设备进行翻修后,这台光刻机将协助晶瑞进行光刻胶的研发。不仅晶瑞股份在采购光刻机,南大光电、上海新阳等光刻胶研发企业都对ASML的光刻机情有独钟,也都各自采购了相应的光刻机。该网友进一步透露,2月份后,西安三星就有一批机况非常好的光刻机要拿出来卖,大约有3-4台,价格更便宜,性能更好,维修费更低。届时这些设备花落谁家,我们将持续关注。
  • 已购置300台套进口光刻机等设备,安徽30亿半导体项目投产
    近日,安徽滁州市人民政府网站转载滁州在线报道称,滁州华瑞微电子科技有限公司半导体IDM芯片项目竣工投产。报道指出,滁州华瑞微电子半导体IDM芯片首期项目已购置300台套进口光刻机、离子注入机、等离子刻蚀机等设备,该公司近日已进入试产阶段,主要生产6英寸晶圆。据悉,华瑞微半导体IDM芯片一期项目的建成投产,创造了多个第一新突破,是国内晶圆体建设速度第一、滁州市第一家晶圆体制造厂、南谯-浦口合作基金投资的第一个项目、南谯-浦口合作共建产业园首个投产项目。资料显示,华瑞微创建于2018年5月,是一家集功率器件产品研发、生产、销售和服务于一体的高新技术企业,已经研发成功且量产的产品包括高压VDMOS、低压Trench MOS、超结MOS和SGT MOS,同时正在开展第三代半导体(SiC、GaN)功率器件的研发工作。另外,华瑞微的终端客户包括华为、小米、飞毛腿、美的、长虹等品牌。2020年8月,华瑞微与南谯经济开发区管委会签订投资合作协议,项目选址于南谯-浦口合作共建产业园,一期投资10亿元,占地100亩,建筑面积约8万平方米。一期达产后,可年产6英寸晶圆72万片,预计年销售收入10亿元,纳税5000万元。二期用地200亩,计划投资20亿元,全部达产后,年销售额预计30亿元,税收2亿元。
  • 国产光刻机如何突围?
    近日,有消息称,上海微电子正致力于研发28纳米浸没式光刻机,预计在2023年年底将国产第一台SSA/800-10W光刻机设备交付市场。此前,国家知识产权局公布了一项华为新的专利“反射镜、光刻装置及其控制方法”,在极紫外线光刻机核心技术上取得突破性进展。  半导体产业是全球主要国家的战略高地。美国、荷兰、日本先后对光刻机等半导体制造设备出口进行限制,我国将于8月1日起对镓、锗相关物项实施出口管制。想要不被“卡脖子”,在关键环节实现自主可控是必经之路。光刻机“卡脖子”问题具体体现在哪儿?我国企业已经取得了哪些进展?国产量子芯片领域能否把握发展先机?记者近日就此调研了部分上市公司,采访了学术界、产业界多位专家。  业内人士普遍表示,我国企业加快核心领域自主研发,光刻机产业链上下游正不断涌现出新进展、新成果,国产化加速向前。“中国芯”正在崛起。  光刻机领域突破不断  光刻机又名掩模对准曝光机,被称为“半导体工业皇冠上的明珠”,是半导体产业链中最精密的设备,是制造芯片的核心装备。光刻机技术有多难?业界有形象的比喻,用光在晶圆上画图,相当于两架客机齐头并进,一架机翼上挂一把刀,另一架飞机上粘一颗米粒,用刀在米粒上刻字。  目前,全球能生产光刻机的厂商寥寥无几,荷兰阿斯麦、日本尼康和佳能占据了主要市场。其中,阿斯麦技术最为领先,它是唯一能生产极紫外线光刻机的厂家,这种光刻机可实现7纳米甚至5纳米工艺。阿斯麦第一大股东是美国资本国际集团,第二大股东是美国的黑岩集团。  中国在光刻机技术方面曾站在世界“第一方阵”,1965年研制出了65型接触式光刻机,1985年研制出的分步光刻机样机,当时与国外先进水平差距不超过7年,但此后,我国开始从国外购买光刻机。自20世纪90年代起,阿斯麦等国外企业却迅速崛起。  眼下,我国光刻机产业处处被“卡脖子”。接受本报记者调研的企业称:“卡脖子”的难点主要在两处:一是光源,光刻机要求体系小、功率高而稳定的光源;二是镜片,为了让光线能够精确地照射到硅片上刻画出微小的图案,需要一系列高精度和高光滑度的镜片来聚焦和校准光线。  上海微电子副董事长贺荣明在受访时表示:“2002年,我国专家出国考察时,对方工程师说,哪怕把所有图纸都给你们,你们也未必能做出光刻机。”回国后,贺荣明带领团队夜以继日攻关,研发团队经过5年终于在曝光这个关键环节取得重大突破,之后不断闯关。目前,上海微电子已可量产90纳米分辨率的SSX600系列光刻机,28纳米分辨率的光刻机也有望取得突破。  国产化率日渐提升  贺荣明带领的上海微电子,仅仅是我国企业在光刻机走向自主可控进程中付出努力的一个缩影。近年来,多家A股上市公司已经进入到光刻机全球产业链各环节之中,包括光刻机光源系统厂商福晶科技,物镜系统厂商奥普光电,涂胶显影厂商芯源微、富创精密,光掩膜版厂商清溢光电、华润微,缺陷检测厂商精测电子,光刻胶厂商南大光电、容大感光,光刻气体厂商雅克科技、华特气体等。  其中,富创精密是阿斯麦的供应商之一,全球为数不多的能够量产应用于7纳米工艺制程半导体设备的精密零部件制造商。对于国产化问题,富创精密表示:“公司将在现有产品的基础上逐步实现半导体设备精密零部件的国产化。”  华特气体则表示:“公司产品已批量供应14纳米、7纳米等产线,部分氟碳类产品、氢化物已进入到5纳米的先进制程工艺中使用。”  中微公司将产业的快速发展归功于资本市场的助力。中微公司董秘刘晓宇表示:“资本市场不仅解决了公司资金需求,并且带来广泛的社会资源和产业链上下游资源,形成产业链协同效应。”  随着产业链上下游企业的共同努力,光刻机的国产化率日渐提升。  浙商证券研报表示,当前我国在清洗、热处理、去胶设备的国产化率分别达到34%、40%、90%;在涂胶显影、刻蚀、真空镀膜的国产化率达到10%至30%;在原子层沉积、光刻、量测检测、离子注入的国产化率暂时低于5%。  正如工银投行研究中心信息技术行业首席分析师许可源所言,全球半导体产业碎片化趋势显现,对于我国半导体产业,国产替代成为未来发展的长期逻辑。随着国内半导体制造和封测产能的持续扩张,将为国内设备厂商提供更多验证与导入的机遇,带动国内产业在技术和市场上的突破。  有望借量子技术换道超车  除了上述各领域的创新外,被誉为新一轮科技革命的战略制高点——量子科学领域,中国位列全球“第一方阵”。量子计算机对复杂数据的计算能力大大超过传统计算机的极限,这为“中国芯”换道超车提供了技术支持。  目前,华为的超导量子芯片专利技术,大幅提升量子芯片的良率,已经超过了英特尔;本源量子已经研发出中国首个自主研发的超导量子计算机本源悟源。  中天汇富投资控股集团董事长、本源量子创业合伙人黄罡向记者表示:“公司从诞生之日开始,就把实现自主可控作为根本目标。我国有庞大的应用场景,有生机勃发的产业生态,为量子技术发展提供沃土。”  不管是科技攻关还是换道超车,都离不开国家政策的护航。国家“十四五”规划和2035年远景目标纲要提出,要加强原创性引领性科技攻关。  “近年来,在许多科技创新的关键领域,我国取得的成果可圈可点,一些企业脱颖而出进入国际市场参与全球化竞争,这与我国高度重视并出台产业政策进行资源支持密不可分。”中央财经大学数字经济融合创新发展中心主任陈端向记者表示。  中国半导体行业协会副理事长于燮康也对记者表示:“尽管我国半导体产业面临技术等各种挑战,但高速增长的国内市场规模也为产业升级优化提供了重要机遇。”
  • EUV光刻机“忙疯了”
    据市场消息,目前,ASML High NA EUV光刻机仅有两台,如此限量版的EUV关键设备必然无法满足市场对先进制程芯片的需求,为此ASML布局步伐又迈一步。当地时间6月3日,全球最大的半导体设备制造商阿斯麦(ASML)宣布,携手比利时微电子研究中心(IMEC),在荷兰费尔德霍芬(Veldhoven)开设联合High-NA EUV光刻实验室(High NA EUV Lithography Lab),并由双方共同运营。推动摩尔定律关键因素:High NA EUV技术据业界信息,High NA EUV技术是EUV技术的进一步发展。NA代表数值孔径,表示光学系统收集和聚焦光线的能力。数值越高,聚光能力越好。通过升级将掩膜上的电路图形反射到硅晶圆上的光学系统,High NA EUV光刻技术能够大幅提高分辨率,从而有助于晶体管的进一步微缩。ASML的High NA EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元。据悉,ASML最先进的高数值孔径EUV设备的数值孔径将从0.33提高到0.55,这意味着设备可以绘制更精细的电路图案。ASML官网消息指出,经过多年的构建和整合,该实验室已准备好为领先的逻辑和存储芯片制造商、以及先进材料和设备供应商,提供第一台原型高数值孔径EUV扫描仪(TWINSCAN EXE:5000)以及周围的处理和计量工具。据介绍,0.55NA EUV扫描仪和基础设施的准备工作始于2018年,在此之前,ASML和ZEISS(蔡司)已经能够开发High NA EUV扫描仪专用解决方案,涉及光源、光学元件、镜头变形、拼接、降低景深、边缘位置误差和叠加精度。与此同时,IMEC与其扩展的供应商网络紧密合作,准备了图案化生态系统,包括开发先进的光刻胶和底层材料、光掩模、计量和检测技术、(变形)成像策略、光学邻近校正 (OPC) 以及集成图案化和蚀刻技术。准备工作最近取得了首次曝光,首次展示了使用0.55NA EUV原型扫描仪在Veldhoven的金属氧化物光刻胶 (MOR) 上印刷的10纳米密集线条(20纳米间距)。此次联合实验室的开放,被视为High-NA EUV技术大批量生产准备过程中的重要里程碑。业界预计,随着该技术的不断成熟和普及,将在2025-2026年期间迎来大规模的量产应用。IMEC总裁兼首席执行官Luc Van den hove表示,High-NA EUV是光学光刻领域的下一个里程碑,有望在一次曝光中对间距为20纳米的金属线/空间进行图案化,并支持下一代DRAM芯片。与现有的多图案化0.33 NA EUV方案相比,这将提高产量并缩短周期时间,甚至减少二氧化碳排放量。因此,它将成为推动摩尔定律进入埃时代的关键推动因素。先进制程竞争开战:光刻机“挺忙的”在芯片制造中,先进制程技术是当前行业研发的重点,掌握研发最新制程技术的大厂主要是台积电、三星、英特尔,从三大厂的动态来看,先进制程研发之争已开启。而光刻设备是芯片制造过程中的核心步骤,目前ASML是全球唯一掌握High-NA EUV技术的设备厂商,随着先进制程芯片竞争日益升温,各大厂瞄准EUV先进设备开始抢购。从订单情况来看,ASML财报显示,今年第一季度公司新增订单金额为36亿欧元,其中6.56亿欧元为EUV光刻机订单。这一局,英特尔率先抢下了ASML大部分的High NA EUV光刻机。据此前外媒消息,ASML截至2025上半年的高数值孔径EUV(High-NA EUV)设备订单由英特尔全部包揽。并在前不久英特尔宣布完成了ASML High-NA EUV光刻机设备组装。这是ASML生产的首台High NA EUV光刻机,价值高达3.5亿欧元,英特尔计划用该款设备生产1.8nm以下的先进制程芯片。据了解,ASML还对外交付了第二台High NA EUV光刻机,但未透露买家信息。值得一提的是,ASML的订单已超过了十几台,但EUV设备的最大客户台积电却表示“不抢ASML新设备”。台积电业务开发资深副总经理张晓强此前表示,台积电A16制程不一定要用阿斯麦(ASML)High-NA EUV。现有EUV能力支持芯片生产到2026年底,届时A16制程将根据目前蓝图推出。三星电子方面,该公司联合ASML共同投资1万亿韩元在韩国建立新研发中心。该中心位于京畿道华城市ASML新园区前,将配备能够实施亚2nm工艺的先进高数值孔径EUV光刻设备,并将成为ASML和三星电子工程师使用EUV设备进行先进半导体研发合作的场所。据此前动态,三星电子已在ASML韩国华城新园区附近新获得了一块场地,将于明年开始建设,计划在竣工时引进[高数值孔径]设备,预计最晚会在2027年完成。三星电子还与ASML EUV光刻机组件供应商蔡司联手,在EUV领域深化合作。公开资料显示,蔡司集团是全球唯一的极紫外(EUV)光系统供应商ASML Holding NV的光学系统唯一供应商。据透露,每台EUV光刻机中包含了三万多个由蔡司提供的组件。三星电子此前指出,其目标是引领3nm以下的微制造工艺技术,今年计划采用EUV光刻技术量产第六代10纳米DRAM芯片。未来,三星电子积极寻求到2025年实现2nm芯片商业化,到2027年实现1.4nm芯片商业化。
  • 俄罗斯明年开始生产光刻机!
    根据俄罗斯媒体报道指出,俄罗斯正在研发生产芯片的微影光刻机。其工业和贸易部副部长Vasily Shpak 在接受媒体访问时指出,2024 年将开始生产350 纳米微影光刻机,也就是说在明年俄罗斯就能拥有自己的光刻机了。此外,在2026年启动用于生产130 纳米制程芯片的微影光刻机。其生产将在莫斯科、泽列诺格勒、圣彼得堡和新西伯利亚的现有工厂进行。Vasily Shpak 指出,当前全球只有两家公司生产此类设备,包括日本NIKON 和荷兰ASML。然而,其对于半导体的生产相当重要。Vasily Shpak 指出,一个简单的逻辑就是,如果没有半导体主权,那就没有技术主权,那么你在国防安全和政治主权方面就非常脆弱。而现在俄罗斯已经掌握了使用外国制造65 纳米微影光刻机的技术,但因为外国公司被禁止向俄罗斯出口先进的微影光刻机,所以俄罗斯正在匆忙开发自己的生产设备。Vasily Shpak 表示,2024 年就将拨款2,114 亿卢布(约23亿美元)用于国内电子产品的开发。而俄罗斯决定开发350 纳米到65 纳米微影光刻机的原因,在于这一技术范围内的芯片多用于微控制器、电力电子、电信电路、汽车电子等方面上,这些应用大约占市场的60%。所以,这项设备在全世界市场的需求量很大,并且将在至少10 年内有持续的需求。另外,当被问到可能遭遇的阻力时,Vasily Shpak 说,我不想抱怨,所有的问题都不是问题,因为这关系到我们拥有哪些机会,以及所设定的目标。
  • 微光刻人再相聚!第十二届微光刻技术交流会在合肥成功召开
    仪器信息网讯 9月22日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第三届年会暨第十二届微光刻技术交流会在合肥成功召开。本届会议由全国半导体设备和材料标准化技术委员会微光刻分技术委员会主办,合肥芯碁微电子装备股份有限公司(以下简称“芯碁微装”)承办。会议吸引了业界两百余位资深专家及企业代表参会。 会议现场会议伊始,由合肥市政府副市长赵明,全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦,中科院标准化管理委员会专家组麻云凤,合肥芯碁微电子装备股份有限公司董事长程卓以及市人大常委会副主任、合肥高新区党工委书记、管委会主任宋道军分别致辞。合肥市政府副市长 赵明 致辞全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长 陈宝钦 致辞中科院标准化管理委员会专家组 麻云凤 致辞合肥芯碁微电子装备股份有限公司董事长 程卓 致辞市人大常委会副主任、合肥高新区党工委书记、管委会主任 宋道军 致辞致辞结束后,大会进入2022年度微光刻技术交流会环节。大会首日交流会环节邀请了12位业界专家依次分享报告,对微光刻技术、微光刻设备和材料技术的发展趋势、最新研究成果及进展等展开深入的交流与探讨。上午的报告由中科院理论物理研究所研究员冯稷主持。中科院理论物理研究所研究员 冯稷 主持报告人 合肥芯碁微电子装备股份有限公司 陈东博士报告题目 《激光直写光刻技术及应用》芯碁微装的陈东博士从激光直写技术及其在泛半导体领域的应用两方面介绍了芯碁微装的技术与应用。据介绍,激光直写技术分为激光束直写式光刻、基于2D数字空间光调制器的直写式光刻等种类,其关键技术性能指标包括产能、解析、精度和稳定性等。当前直写激光已被应用于集成电路(掩模版、晶圆、晶圆级封装、功率半导体、第三代半导体等)、平板显示(LCD、OLED等高端显示)、纳米器件(MEMS、生物芯片、3D器件等)和高端PCB等领域。报告人 北京超弦存储器研究院 师江柳博士报告题目 《应用于前沿存储器工艺的先进光刻工艺研发介绍》师江柳在报告中详细介绍了北京超弦存储器研究院的情况,DRAM对先进光刻的需求以及研究院先进光刻工作三方面内容。据介绍,DRAM存储芯片市场份额巨大,发展前景广阔,是半导体产业的核心支柱之一。中国的DRAM市场接近全球的60%,但自给能力严重不足,且核心技术受制于人,亟需关键技术的突破。当前,北京超弦存储器研究院积极搭平台、引人才、建队伍、出成果,为国产存储器产业发展提供技术来源、协调资源调配、培养专业人才、实施知识产权保护,致力于成为国内存储器技术发展的“探路人”。报告人 上海交通大学教授 周林杰报告题目 《光电子芯片封装测试技术》当前硅基光电子正朝大规模集成应用发展,同时硅光技术促进了片上光互联、量子计算、激光雷达、光子计算新应用的蓬勃发展,但光芯片的封装和测试成本却高居不下。据周林杰介绍,硅光芯片封测面临硅间接带隙材料不能发光、硅波导与光纤模式失配大使得耦合效率低、电学控制端口数多和失效检测等问题,而光电子芯片封装测试平台可提供光电子芯片封装、光电子芯片及器件测试、失效分析等服务。报告中,周林杰详细介绍了平台的电学封装、光学封装和失效分析的技术,并举了大规模光开关和激光雷达芯片两个实例。报告人 中国科学院微电子研究所研究员 齐月静报告题目 《光刻精密测量技术》光刻机是半导体产业的重要装备,其中前道光刻机的生产厂家主要有ASML、Nikon、Canon和上海微电子。光刻机的核心指标包括分辨率、套刻精度和产率,核心分系统包括对准、步进扫描曝光和成像质量控制系统。报告中,齐月静详细介绍了光刻精密测量技术中的投影物镜波像差检测、对准和套刻的关系、对准原理、对准装置等内容。以上为上午的报告内容,下午的会议交流由清华大学刘泽文教授和中科院重庆研究院王德强研究员依次主持。清华大学教授 刘泽文 主持中科院重庆研究院研究员 王德强 主持报告人 合肥芯碁微电子装备股份有限公司总经理 方林报告题目 《芯碁光刻机发展历程》芯碁微装成立于2015年,总部位于中国合肥,于2021年4月1日在科创板正式挂牌上市,成为中国首家光刻设备上市企业。据介绍,芯碁微装致力于以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产,主要产品为PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他镭射直接成像系统。目前产品已广泛应用于IC芯片、掩模版、MEMS、生物芯片、PCB、Touch Panel、FPD、LED等影像转移领域。从2015年成立至今,通过自主研发,不断技术创新,芯碁微装的设备装机量已累计超过700台。报告人 长沙韶光芯材科技有限公司副总经理 彭博报告题目 《长沙韶光芯材科技有限公司》彭博在报告中介绍了长沙韶光芯材料科技有限公司的情况。据介绍,长沙韶光芯材科技有限公司1980年全套引进德国生产线作为分厂存在;2003年成为独立法人的国有公司;2011年改制为民营企业,经营层控股/员工持股;2022年引入股权投资。报告最后,彭博这样形容韶光芯材,“老牛亦解韶光贵,不待扬鞭自奋蹄”。报告人 青岛天仁微纳科技有限责任公司北区销售总监 李心报告题目 《天仁微纳 纳米压印光刻领导者》纳米压印光刻是光刻技术的一种,可以低成本加工微纳结构,在某些领域比投影光刻更具优势。李心表示,随着技术继续发展,在某些工艺节点,纳米压印技术有可能替代投影式光刻。目前,纳米压印已应用到消费类电子产品、车载光学、AR眼镜、生物芯片、显示等领域,随着纳米压印光刻技术日趋成熟,应用领域也会越来越多。报告中,李心还介绍了天仁微纳的发展历程、产品及生产线、解决方案等内容。报告人 锐时科技(北京)有限公司副总经理 朱国报告题目 《2022 Raith公司及最新应用简介》Raith于1980年创立,是一家先进的纳米加工、电子束光刻、聚焦离子束加工、纳米工程和逆向工程应用领域的精密技术解决方案提供商,总部位于德国多特蒙德,通过在荷兰,美国和亚洲(香港和北京)的子公司以及代理商和服务网络。朱国表示,Raith是纳米制造设备的全球市场和技术领导者,在全球安装了1100余套系统,开发了用于纳米结构打印和扫描的最高精度系统,经营着专门的产品平台战略,拥有最广泛的纳米制造系统产品组合。报告人 清华大学教授 刘泽文报告题目 《智能传感器与光刻技术创新-集成氮化镓MEMS传感器》刘泽文教授表示,智能传感时代已经到来,More-than-moore的系统集成值得引起光刻界的重视,基于新材料和新型加工技术的高性能传感器具有巨大的应用前景。光刻和微纳加工技术具有巨大的创新空间,创新永远在路上。创新成就的取得,需要加强企业和研究机构之间的学科和工程交叉合作,需要政府的综合协调和支持。报告人 北京汇德信科技有限公司销售技术工程师 王涛报告题目 《PHABLE紫外/深紫外光刻机特点及应用》王涛在报告中介绍了北京汇德信科技有限公司独家代理的产品-PHABLE紫外/深紫外光刻机。据介绍,该设备是泰伯效应(Talbot)光刻机(DTL技术),采用了非接触曝光的方式,具有纳米-微米级曝光分辨率,分为手动、自动机型,且可以实现大面积纳米周期图案。其中纳米级分辨率可达<50nm(DUV)、<100nm(UV),能实现4‘‘、6’‘、8’‘大面积图案化。此外,PHABLE曝光技术可以在曲面上进行曝光,最大高低差2-3mm表面上曝光纳米图形。报告人 南京南智先进光电集成技术研究院 王前进老师报告题目 《EBL在LNOI光电芯片制备的应用及问题》光电集成是后摩尔时代的可行路径之一。当前光电技术进入集成化发展阶段,产业即将迎来爆发。王前进表示,如果电子学革命的地理中心是以衬底材料来命名,即“硅材料”,那么光子学革命的诞生地应该以“泥酸锂”命名。而南智光电专注于薄膜铌酸锂特色工艺。据介绍,南智光电集成公共技术平台一期由南京市江北新区研创园投资兴建,系南京市首个光电集成领域开放式平台。平台一期占地5000平米,建有超净实验室2000平米,各类设备6000余万元,涵盖光刻、镀膜、刻蚀、封装等各工艺环节,有工艺及研发队伍40人。报告人 中国科学技术大学工程师 周典法报告题目 《光刻工艺装备的运行保障》周典法老师在报告中介绍了装备运行中的常见问题、装备的故障诊断和修复以及装备的运行保障措施。周典法表示,设备的运行保障以预防为主,需加强日常巡检,定期对易损件耗材进行更换和备件储备,确保电力供应正常,还要培养一位自家的硬件维修工程师。合影留念9月22日的微光刻技术交流会到此结束。23日,大会将召开2022年度先进光刻技术交流会、2022年度第三届微光刻分技术委员会年会和2022-2023年两届承办方交接牌仪式,并邀请专家参观合肥芯碁微电子装备股份有限公司产业链上/下游企业。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制