当前位置: 仪器信息网 > 行业主题 > >

光刻技术

仪器信息网光刻技术专题为您整合光刻技术相关的最新文章,在光刻技术专题,您不仅可以免费浏览光刻技术的资讯, 同时您还可以浏览光刻技术的相关资料、解决方案,参与社区光刻技术话题讨论。

光刻技术相关的资讯

  • 某国产光刻设备商公开和授权一批光刻技术专利
    近日, 合肥芯碁微电子装备股份有限公司公开和授权一批光刻技术专利。 据了解,合肥芯碁微电子装备股份有限公司(简称:芯碁微装),成立于2015年6月,注册资本12080万元,坐落于合肥市高新区集成电路产业基地,公司专业从事以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产。主要产品及服务包括PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他激光直接成像设备。以下为专利详情:发明名称专利类型法律状态申请号申请日公开(公告)号公开 (公告)日期一种激光直接成像设备对准相机位置关系误差的测量方法发明授权授权CN201910534115.12019-06-20CN110275399B2021-05-07一种激光直写光刻机长辊式压板机构发明公布公开CN202011626210.32020-12-30CN112764322A2021-05-07镜头畸变补偿方法、存储介质以及直写式光刻机发明公布公开CN202011635539.62020-12-31CN112748644A2021-05-04吸盘组件和具有其的光刻机实用新型授权CN202022035008.52020-09-15CN213069472U2021-04-27成像装置和光刻机实用新型授权CN202021586260.92020-08-03CN213069471U2021-04-27一种用于直写光刻机的电机串并联系统实用新型授权CN202022234271.72020-10-09CN213069473U2021-04-27《一种激光直接成像设备对准相机位置关系误差的测量方法》公开了一种激光直接成像设备对准相机位置关系误差的测量方法,包括建立激光直接成像设备基础台面的直角坐标系;在基础台面上放置尺寸标定板,该尺寸标定板布置有至少三个MARK点,其中有三个MARK点构成的直角三角形;利用左对准相机和右对准相机测量构成直角三角形的MARK点的中心坐标;以左对准相机或右对准相机为基准相机,利用所测MARK点的中心坐标计算两对准相机的位置关系误差。本发明解决了两相机距离较远无法标定位置关系的问题。《成像装置和光刻机》公开了一种成像装置和光刻机,成像装置包括:镜筒、光学组件和运动转换件,镜筒内形成有安装腔,镜筒形成有轴向延伸的限位槽,限位槽径向贯穿镜筒的壁,光学组件设置于安装腔内,光学组件外侧设置有移动件,移动件穿设限位槽,以实现光学组件轴向移动,运动转换件可转动地套设在镜筒的外侧且与移动件相配合,以在运动转换件相对镜筒转动时驱动移动件在限位槽内轴向移动。使用该运动转换件可以将光学组件的旋转和上下两个方向的运动分开,通过运动转换件的旋转推动移动件,从而可以带动光学组件实现上下移动,这样避免了传统的直接使用螺纹旋转上下调节给成像装置的成像质量带来的各种不良影响。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级。光刻技术成为一种精密的微细加工技术。基于此,仪器信息网拟于2021年5月14日举办“半导体光刻技术与应用主题网络研讨会”,依托“网络讲堂”栏目,邀请业内专家以及厂商技术人员参与本次网络研讨会,就半导体光刻技术等话题共同探讨,为广大从事半导体光刻设备和技术研发的专家学者和技术人员提供一个交流的空间。(点击图片免费报名参会)
  • 关于召开TC203微光刻分技术委员会第四届年会暨第十三届微光刻技术交流会的通知
    1、 会议流程:会议时间:2023年8月28-31日会议地址:青岛鑫江温德姆酒店会议承办单位:青岛天仁微纳科技有限责任公司日期时间地点内容备注8月28日星期一全天一楼大厅报到,签到会务组酒店签到11:30-13:00指引已报到的参会嘉宾自助午餐会务接待组14:00-17:00二楼华润厅青少年科普课堂:《微电子微光刻科学技术知识的宣贯、播种、科学普及教育从娃娃抓起的亲子活动》及《以魔方为例谈谈学习方法的重要性的科普活动》和《魔方与现代科学及魔方与标准化的研究》。“魔方爷爷”17:30-18:00技术交流 海德堡仪器公司技术专家董越先生《创新先进技术-双光子聚合(TPP)实现3D微光刻及微型打印》。光映科技公司18:30-21:00欢迎晚宴8月29日星期二6:30-8:00一楼餐厅自助早餐至10:00结束大床房 双早双床间 双早8:30-12:00一楼鑫江厅全国半导体设备和材料标准化技术委员会微光刻分技术委员会(TC203/SC4)年会暨第十三届微光刻技术交流会开幕式:1)主办单位领导致欢迎辞。2)青岛市领导致辞。3)承办单位领导致欢迎辞。4)微光刻分委会秘书长 有关委员会《三十年历程及十年磨一剑》简介。5)集体照微光刻技术交流报告6) 湖南大学段辉高教授:《力学辅助光刻及其应用》。7)苏州大学陈林森教授:《微纳光制造(数字光刻/智能纳米压印)》8)中国科学院上海高等研究院X射线光学技术实验室副主任吴衍青研究员《上海光源 EUV光刻胶检测技术新进展》9)北京大学物理学院副研究员朱振东老师:《氮化硅微腔光频梳器件关键技术》10)神光光学集团有限公司首席科学家,神光研究院曹海平院长:《神光光学用于微光刻的玻璃材料和元件》。11)中国科学院光电技术研究所研究员王建老师:《面向特种芯片的光刻技术与装备》主持人:微光刻分技术委员会主任委员冯稷主任主持人:湖南大学段辉高教授主持人:清华大学李群庆教授12:00-13:00二楼华润厅自助午餐GenIsys公司13:30-18:00一楼鑫江厅半导体设备和材料及先进光刻技术交流会1) 青岛天仁微纳科技有限责任公司事业发展经理 Massimo Tormen:Manufacturing advanced photonic devices needs reliable nanoimprinting lithography solutions。2)海德堡仪器公司Nano AG/杨菲博士:《纳米扫描热探针直写技术(NanoFrazor)》一种可应用于纳米尺度科学研究的纳米制造技术。3)锐时科技(北京)有限公司副总经理朱军先生:《Raith Nanofabracation Application Updates 2023》。4) Genlsys公司亚太总监陈利奇先生:《GenlSys Update 2023》。5)清华大学刘泽文教授:《光刻技术回顾与展望》。6) 中国科学院微电子研究所研究员夏洋老师:《集成电路工艺装备及科教融合》。7) 中国科学院长春光学精密机械与物理研究所研究员张志宇老师:《大幅面微纳结构光学表面制造技术》。8) 江苏长进微电子材料有限公司总经理王凯先生:《新型高分辨率电子束光刻胶和用于三层结构新型显影工艺》。9) 长飞石英技术 (武汉) 有限公司销售副总监肖畅先生:《微光刻用合成石英材料开发进展与应用》。10) 矽万 (上海)半导体科技有限公司陈硕先生:《基于3D光刻的曲面衬底非球面微透镜阵列》。11) 苏州锐材半导体有限公司销售经理江茜女士:《SOI晶圆和其它晶圆键合新材料》。12) 深圳清力技术有限公司实验平台负责人潘旭捷先生:《深圳超滑技术实验平台微纳米工艺介绍》。13) 纳糯三维科技 (上海) 有限公司总经理崔万银博士:《双光子灰度光刻技术在微光学器件中的应用》。14) 中国科学院微电子研究所杨尚老师《数字化掩模灰度光刻的建模与仿真》。15) 福建省水电科学研究院刘辉文老师:《电位限制式电子束投影光刻技术的新进展》主持人:清华大学刘泽文教授主持人:上海交通大学程秀兰教授18:00-20:00二楼华润厅晚宴Raith 锐时科技公司20:00-21:00一楼鑫江厅Genlsys公司用户会8月30日星期三6:30-8:00一楼餐厅自助早餐至10:00结束大床房 双早双床间 双早8:30-12:00一楼鑫江厅微光刻标准化分技术委员会年会1)纳米压印国家标准制定工作组筹建情况介绍。包括工作组成员及主要起草单位和主要起草人介绍。2)关于“纳米压印国家标准”申请立项书草案。3)“纳米压印国家标准”初稿草案。4)其它有关纳米压印技术建议。2023-2024年两届承办方交接牌仪式2023年第十三届承办单位青岛天仁微纳科技有限责任公司董事长冀然先生交会牌,致感谢词;2024年第十四届承办单位中国科学院上海高等研究院X射线光学技术实验室副主任吴衍青研究员接会牌,致欢迎词。微光刻分技术委员会主任委员冯稷主任12:00-13:00二楼华润厅自助午餐神光光学集团13:00-17:00待定重要的调研参观考察待定18:00-20:00二楼华润厅欢送晚宴青岛天仁微纳科技有限责任公司8月31日星期四6:00-10:00一楼餐厅自助早餐至10:00结束大床房 双早双床房 双早9:00-12:00退房参会嘉宾返程会务组2、 交通信息参考会议地点:青岛鑫江温德姆酒店(青岛市城阳区黑龙江中路220号)路线建议:1、飞机:青岛胶东国际机场——青岛鑫江温德姆酒店网约车:40.3公里,【时长:37分钟,总费用:80元左右】;地铁-公交:地铁8号线(胶东机场地铁站--青岛北站)--地铁1号线(青岛北站--仙家寨)--A2出口步行6分钟至汽车北站,乘坐即青1号线(汽车北站--仙山东路黑龙江路)-青岛鑫江温德姆酒店,【时长:1小时42分钟,总费用:8元】;地铁-打车:地铁8号线(胶东机场地铁站--青岛北站)--地铁1号线(青岛北站--仙家寨)--A3出口打车至青岛鑫江温德姆酒店,【时长:1小时23分钟,总费用:17元】;2、 高铁:(1)青岛北站——青岛鑫江温德姆酒店网约车:12公里,【时长:20分钟,总费用:30元左右】;地铁-公交:地铁1号线(青岛北站--仙家寨)--A2出口步行6分钟至汽车北站乘坐即青1号线(汽车北站--仙山东路黑龙江路)-青岛鑫江温德姆酒店,【时长:50分钟,总费用:4元】;地铁-打车:地铁1号线(青岛北站--仙家寨)--A3出口打车至青岛鑫江温德姆酒店,【时长:33分钟,总费用:13元】;(2)青岛站——青岛鑫江温德姆酒店网约车:29公里,【时长:35分钟,总费用:53元左右】;地铁-公交:地铁1号线(青岛站--仙家寨)--A2出口步行6分钟至汽车北站乘坐即青1号线(汽车北站--仙山东路黑龙江路)-青岛鑫江温德姆酒店,【时长:1小时15分钟,总费用:6元】;地铁-打车:地铁1号线(青岛站--仙家寨)--A3出口打车至青岛鑫江温德姆酒店,【时长:58分钟,总费用:16元】。青岛鑫江温德姆酒店--喆啡酒店打车:6分钟,费用约9元步行:1.5公里,约20分钟附件:青岛部分热门景点市南沿海一线(五四广场、奥帆中心、情人坝、小麦岛公园、燕儿岛山公园游玩线路比较集中,建议已一条线路游玩)★酒店—五四广场酒店步行500米到达西小水公交站台乘坐公交车502路(市政府南通路方向)10站到市政府南通路公交站台下车,步行15分钟即到五四广场。★五四广场—奥帆海洋文化旅游区—情人坝—燕儿岛山公园—小麦岛公园以五四广场为沿海一线游玩起点,五四广场导航指引步行10分钟即到奥帆海洋文化旅游区,步行20分钟即到情人坝,步行30分钟即到燕儿岛山公园,步行50分钟即到小麦岛公园;★栈桥景区酒店步行500米到达仙山东路黑龙江路公交站台乘坐公交车即青1号线(汽车北站方向)2站到汽车北站公交站台下车,步行230米至仙家寨(汽车北站)地铁站(王家港方向)乘坐地铁1号线乘19站青岛站G口方向即到★中山公园—八大关风景区酒店步行260米到达黑龙江中路仙山东路公交站台乘坐公交车103路(李村站方向)19站到李村站公交站台下车,步行220米至李村地铁站(青岛站方向)14站中山公园地铁站A口出步行500米即到中山公园。中山公园步行10分钟即到八大关风景区。★崂山风景区酒店步行500米到达西小水公交站台乘坐公交车502路(市政府南通路方向)3站到黑龙江中路枣山路站公交站台下车,步行200米至枣山路地铁站乘坐地铁2号线(泰山路方向)乘5站站内换乘地铁11号线(钱谷山方向)9站北九水地铁站B1口出站即到。石老人海水浴场★即墨古城酒店步行500米到达仙山东路黑龙江站台乘坐公交车即青1号线(汽车城公交枢纽站方向)7站到得利电器公交站台下车,同站换成即墨2006路(永昌公交总站方向)14站到即墨古城(西门)公交站★金沙滩风景区酒店步行260米到达黑龙江中路仙山东路公交站台乘坐公交车941路(临时站方向)11站到重庆中路瑞金路公交站台下车,步行250米至瑞金路地铁站(王家港方向)21站山里地铁站C口出到达山里地铁站公交站乘坐公交车黄岛4路(灵山卫公交枢纽站方向)5站到电影学院公交站台下车即到。参会方式:返回回执即可(邮箱请查看回执)第二轮回执表.doc
  • 八种光刻技术盘点 国产化进展喜人
    光刻是将掩模版上的图形转移到涂有光致抗蚀剂(或称光刻胶)的硅片上,通过一系列生产步骤将硅片表面薄膜的特定部分除去的一种图形转移技术。光刻技术是借用照相技术、平板印刷技术的基础上发展起来的半导体关键工艺技术。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级范围。光刻技术成为一种精密的微细加工技术。随着技术的发展,光刻技术不断推陈出新,出现了很多针对某几种用途的专门技术,在此特为大家盘点介绍一些光刻技术。掩模光刻掩膜光刻由光源发出的光束,经掩膜版在感光材料上成像,具体可分为接近、接触式光刻以及投影光刻。相较于接触式光刻和接近式光刻技术,投影式光刻技术更加先进,通过投影的原理能够在使用相同尺寸掩膜版的情况下获得更小比例的图像,从而实现更精细的成像。目前,投影式光刻在最小线宽、对位精度、产能等核心指标方面能够满足各种不同制程泛半导体产品大规模制造的需要,成为当前 IC 前道制造、IC 后道封装以及 FPD 制造等泛半导体领域的主流光刻技术。根据光源不同,掩模光刻机还可以分为紫外光源(UV)、深紫外光源(DUV)、极紫外光源(EUV)。为了提供波长更短的光源,极紫外光源(EUV)为业界采用。目前主要采用的办法是将二氧化碳激光照射在锡等靶材上,激发出13.5 nm的光子,作为光刻机光源。目前仅有由荷兰飞利浦公司发展而来的ASML(阿斯麦)一家可提供可供量产用的EUV光刻机。这是目前最先进的光刻技术。X射线光刻X射线因为波长很短,所以几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于MEMS技术当中。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。X射线光源最大的优势在于他可以做出高深宽比的图形,但是最大的问题也是由于他的穿透性太强导致了无法用透镜进行放大和缩小,因此图形尺寸和掩模版的尺寸相同,所以X射线光刻过分依赖电子束光刻掩模版的精度,故目前没有大量普及。离子束光刻离子束投影曝光系统的结构和工作原理与光学投影曝光的结构与原理类似,所不同的是曝光粒子是离子、光学系统采用离子光学系统,而掩模版则由可通过和吸收离子的材料制备。离子束曝光掩模版通常采用Si材料制成投射/散射式的二相掩模版技术。离子束投射光学系统一般也采用4:1缩小的投射方式,透镜实际上是一个可对离子进行聚焦作用的多电极静电系统。常见的离子束光刻技术包括聚焦离子束光刻(FIB)和离子投影光刻(IPL)。FIB系统采用液态金属离子源,加热同时伴随着一定的拔出电压获得金属离子束,通过质量选择器来选择离子,通过电子透镜精细聚焦的金属离子,在偏转线圈的作用下,形成扫描光栅。离子束可通过溅射对样品进行表面成像。聚焦式离子束技术是利用静电透镜将离子束聚焦成非常小尺寸(与电子束直写光刻技术类似。不需要掩膜板,应用高能粒子朿直写。离子投影曝光( lPL)是将平行的离子束穿过掩膜,将缩小的招膜图形投射到基底上,使用PMMA光刻胶。当具有一定能量的离子撞击靶材表面时两者之间会发生一系列的交互作用,其中包括膨胀、刻蚀、沉积、铣削、注入、背散射和形核反应等。主要用于制作修复掩膜版和对晶直接光刻。但离子束光刻存在离子源制备,掩膜板畸变,衬底工艺损伤,效率低等问题,很难在生产中作为曝光工具应用,目前主要用作VISI中的掩模修补工具和特殊器件的修整。电子束曝光电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。纳米压印技术纳米压印技术是一种新型的微纳加工技术。该技术通过机械转移的手段,达到了超高的分辨率,有望在未来取代传统光刻技术,成为微电子、材料领域的重要加工手段。纳米压印技术,是通过光刻胶辅助,将模板上的微纳结构转移到待加工材料上的技术。报道的加工精度已经达到2纳米,超过了传统光刻技术达到的分辨率。这项技术最初由美国普林斯顿大学的Stephen. Y. Chou(周郁)教授在20世纪90年代中期发明。由于纳米压印技术的加工过程不使用可见光或紫外光加工图案,而是使用机械手段进行图案转移,这种方法能达到很高的分辨率。报道的最高分辨率可达2纳米。此外,模板可以反复使用,无疑大大降低了加工成本,也有效缩短了加工时间。因此,纳米压印技术具有超高分辨率、易量产、低成本、一致性高的技术优点,被认为是一种有望代替现有光刻技术的加工手段。热探针扫描技术热扫描探针光刻(t-SPL)是近年来新开发出的一种光刻技术,其与当今的电子束光刻(EBL)相比具有更多的优势:首先,热光刻显改善了二维晶体管的质量,抵消了肖特基势垒,阻碍了金属与二维衬底交界处的电子流动;与电子束光刻(EBL)不同,热光刻技术使芯片设计人员能够轻松地对二维半导体进行成像,之后在需要的地方对电极进行图案化; 此外,热扫描探针光刻(t-SPL)制造系统有望在初期节省成本;最后,通过使用平行热探针,能够轻松地将该热制造方法推广到批量的工业生产当中。成本更低,有望成为当今电子束光刻的替代品。激光直写技术激光直写技术是一种近年来应用广泛的超精密加工技术。激光直写是利用强度可变的激光束对基片表面的抗蚀材料实施变剂量曝光,显影后在抗蚀层表面形成所要求的浮雕轮廓。激光直写系统的基本工作原理是由计算机控制高精度激光束扫描,在光刻胶上直接曝光写出所设计的任意图形,从而把设计图形直接转移到掩模上。激光直写技术主要用于制作平面计算全图、掩模、微透镜、微透镜阵列、Fresnel微透镜、Fresnel波带板、连续位相浮雕的闪耀光学元件等,制作工艺己经逐渐成熟。激光直写技术的发展趋势是从直角坐标写入系统到极坐标写入系统,直至多功能写入系统;从基片小尺寸到大尺寸,从平面写入到球面、柱面以及曲面;从利用光刻胶材料到聚合物以及其他特殊工艺材料;写入元件的特征尺寸从几百微米到亚微米;元件制作时间从几天到几小时甚至几分钟;从制作二值图样到写入连续浮雕轮廓 从光学元件到微电子、集成电路、集成光学器件等;从发达的国家到发展中国家,并己经应用到空间光学、光通讯、光学显示等领域,为DOE和微电子、微光学、微机械器件的制作提供了一种新的制作设备。多光子聚合光刻技术双光子聚合是物质在发生双光子吸收后所引发的光聚合过程。双光子吸收是指物质的一个分子同时吸收两个光子的过程,只能在强激光作用下发生,是一种强激光下光与物质相互作用的现象,属于三阶非线性效应的一种。双光子吸收的发生主要在脉冲激光所产生的超强激光的焦点处,光路上其他地方的激光强度不足以产生双光子吸收,而由于所用光波长较长,能量较低,相应的单光子过程不能发生,因此,双光子过程具有良好的空间选择性。一般利用双光子聚合制造3D打印机,可以实现突破传统光学衍射极限的增材制造。不过,华中科技大学的甘棕松教授发明的超分辨纳米光刻技术利用光刻胶双光子吸收特性,采用双束光进行光刻,一束为飞秒脉冲激光,经过扩束整形进入到物镜,聚焦成一个很小的光斑,光刻胶通过双光子过程吸收该飞秒光的能量,发生光物理化学反应引发光刻胶发生固化;另外一束为连续激光,同样经过扩束整形后,进入到同一个物镜里,聚焦形成一个中心为零的空心状光斑,与飞秒激光光斑的中心空间重合,光刻胶吸收该连续光的能量,发生光物理化学反应,阻止光刻胶发生固化。两束光同时作用,最终只有连续光空心光斑中心部位的地方被固化。甘棕松教授目前已经把空心光斑中心部位最小做到9nm,至此突破光学衍射极限的超分辨光刻技术在常规光刻胶上得以完美实现。光刻机国产化现状虽然各种光刻技术不断涌现,但相比于传统的紫外掩模光刻技术而言,大都在工业量产中都无法完全克服生产效率低、对准精度低、分辨率低等缺点。目前,应用较多的光刻技术主要为EUV、DUV等掩模光刻技术,用于工业量产,也是最受关注的光刻技术。公开资料显示,中国最强的光刻机生产商是上海微电子装备公司(SMEE),主要研发DUV光刻机,目前其最先进的SSA600/20光刻机分辨率可达90nm。上海微电子是国内唯一从事研发、生产以及销售高端光刻机的公司,也是全球第四家生产IC前道光刻机的公司。在2020年,金融局走访调研上海微电子时,上海微电子预计将于2022年交付首台28nm工艺国产沉浸式光刻机,国产光刻机将从此前的90nm制程一举突破到28nm制程。上海微电子在中端先进封装光刻机和LED光刻机领域技术领先,先进封装光刻机国内市场占有率高达80%、全球市场占有率达40%,LED光刻机市场占有率第一。实际上,02专项要求实现半导体设备28nm制程的国产化,目前国望光学的物镜、科益虹源的光源、华卓精科的双工件台、启尔机电的浸液系统等零部件都已实现突破,只差上海微电子光刻机集成。位于北京亦庄的国产验证28nm产线也预计明年投产,届时上海微电子的28nm光刻机有望导入产线,实现28nm光刻设备的国产化替代。此外,国产EUV量产型光刻机目前仍在开发中,中国科学院长春光学精密机械与物理研究所在2016年验收了原理技术样机,合工大已开发出DPP-EUV光源,但功率较低。电子束光刻目前国内主要由电工所在开发,但相比于国际厂商还存在差距。而纳米压印技术国内的主要厂商为青岛天仁微纳,现已成为纳米压印领域市场占有额超过95%的头部企业,建立了自主知识产权的核心技术与专利壁垒,设备销售遍布国内知名大学科研院所和企业。激光直写光刻设备主要国产厂商包括江苏速影、合肥芯碁等,与国际巨头Heidelberg、矽万等相比,技术差距正逐渐缩小。光刻设备的国产化不仅推动了半导体产业的进步,同时也推动了国产仪器市场的发展。笔者从其他渠道了解到,上海微电子也采购了某国产双频激光干涉仪。由于最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键零部件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。随着国内仪器设备的技术进步,上海微电子通过采购国产零部件集成先进的光刻机,促进了国产仪器市场发展。目前,主流光刻设备厂商包括,ASML、Nikon、Canon、上海微电子、合肥芯碁、Heidelberg、江苏速影、矽万、SUSS、苏大维格、Veeco、光机所、EVG、ABM、苏州源卓、合肥芯硕、长春长光中天、中国电科、大族激光、中山新诺等。更多仪器请查看以下专场【光刻机】【电子束刻蚀】。
  • 北大新型电镜技术助力国产光刻机攻关
    北京大学量子材料科学中心高鹏研究组基于扫描透射电子显微镜发展了四维电子能量损失谱技术,突破了传统谱学手段难以在纳米尺度表征晶格动力学的局限,首次实现了半导体异质结界面处局域声子模式的测量,近日更是被《半导体学报》列为2021年度中国半导体十大研究进展。这项科技成果的诞生,不仅是我国高端科学仪器领域的一个重要突破,更为实现国产EUV光刻机、掌握芯片核心技术、攻克国产半导体核心技术壁垒增添了动力。四维电子能量损失谱测量界面晶格动力学(a)实验原理示意图;(b)实验测得的声子局域态密度空间分布;(c)界面模式的色散关系。芯片的重要性不用再说,一直被称为“现代工业的粮食”。芯片生产流程中最复杂、最关键的工艺步骤“光刻”需要光刻机来完成,因此,光刻机又被称为“现代光学工业之花”,是盘活国产半导体行业的“齿轮”。光刻机科技含量高,制造工序复杂,仅荷兰ASML的一款EUV光刻机就需要来自全球35个国家5000多家企业的10万多个元器件,并且其中90%的零部件也都是依赖于进口。目前,全球仅有三个国家的四家公司能够制造,荷兰ASML与日本的佳能、尼康占据了全球光刻机市场99%的份额。其中,ASML市场份额常年高达60%以上,呈现霸主垄断地位,并且完全地垄断了超高端光刻机领域;日本的尼康和佳能曾经非常领先,但后来被ASML超越,现在只能生产中低端光刻机;我国的上海微电子的封测光刻机做得不错,但前道光刻机还有差距。说完市场再论技术EUV光刻机一般指极紫外线光刻机,是生产7nm工艺以下芯片的必要设备。制造EUV光刻机最为关键的三大核心组件包括极紫外光源、双工件台和镜头。攻克这三关,制造EUV光刻机指日可待。极紫外光源是顶级光源,ASML在2012年通过收购美企Cymer掌握了此项技术。我国的上海光机所和长春光机所已经突破了14纳米极紫外光源技术,即将转入到实际成果的投用环节。双工件台属于精密仪器制造技术,之前是ASML独家掌握的技术,目前清华旗下的华卓精科已经实现突破,成为了全球第二家掌握光刻机双工件台技术的企业,已成功供货上海微电子。三大核心组件中,最重要的是高精度镜头,一直被德国蔡司垄断。就算是光刻机巨头ASML的镜头也得依赖德国蔡司,而且30多年来,ASML对蔡司的依赖越来越严重。EUV光学镜头由于技术壁垒高、突破难度大。因为都是欧洲企业,ASML可以依赖蔡司,我们中国却不能,因为《瓦森纳协议》。如今,ASML想要研发更先进的EUV光刻机,就需要更大数值孔径的镜头。但这对蔡司来说也是一个挑战,毕竟数值越大,制作难度就越大。德国蔡司的镜头是人工打磨,属于传统谱学制成的EUV光镜。而EUV光镜决定着EUV光刻机的制程上限,基于传统谱学的EUV光镜很难满足硅基半导体未来发展的需求。即使是非常厉害的蔡司,往更高精度发展,也会越来越难以保证。现下,北京大学掌握的这项新型扫描透射电子显微镜技术,就可以规避传统光谱镜头的局限性,能向更高精度发展。简单来说,光学镜头做不到的,电子镜头能做到。光学镜头能做到的,电子镜头也能做到,而且精确性更高。加上上海光机所、长春光机所的极紫外光源技术和华卓精科双工件台技术,我国围绕EUV光刻机的相关技术正在陆续突破,接下就是提高精度,实现合围了。国内光刻机技术的陆续突破,主要源于我们早就布局,并不是近年来才起步。这跟我们的高端科学仪器产业链一样,各项技术环节都在攻坚,相信不远的未来一定能够实现突破。
  • 我国纳米光刻技术研究取得突破
    日前,中科院光电技术研究所微光刻技术与微光学实验室首次提出基于微结构边际的LSP超分辨光刻技术。该技术利用微纳结构边际作为掩模图形,对表面等离子体进行有效激发,其采用普通I-line、G-line光源获得了特征尺寸小于30纳米的超分辨光刻图形。   据相关负责人介绍,传统的微光刻工艺采用尽可能短的曝光波长,期望获得百纳米甚至几十纳米级别的光刻分辨率。然而,随着曝光波长的缩短,整个光刻装备的成本也急剧上升。以目前主流的193光刻机为例,其售价为几千万美元。如此高昂的成本严重限制了短波长光源光刻技术的应用。   近年来,表面等离子体光学的提出为微光刻技术的发展提供了新的选择。利用表面等离子体波的短波长,通过合理的设计掩模图形和工艺参数,超分辨的纳米光刻技术有望形成。   在此背景下,该所研究员提出了基于微结构边际的LSP超分辨光刻技术。理论研究表明,该技术可获得特征尺寸小于1/10曝光波长的纳米结构,并利用365纳米光源从实验上获得了超越衍射极限的光刻分辨率。这将为我国正在迅猛发展的信息产业技术及纳米科技提供坚实的加工制备基础。
  • 光刻管控新仪器-普洛帝光刻胶液体颗粒计数器
    光刻管控新纪元——普洛帝光刻胶微粒鉴识者的华丽登场 在科技浪潮的汹涌澎湃中,普洛帝光刻胶液体颗粒计数器的璀璨问世,不仅铸就了半导体制造精密控制艺术的又一巅峰,更如同一束曙光,照亮了产品质量飞跃与生产效率腾飞的康庄大道。这不仅仅是一款仪器,它是智慧的结晶,是精准与效率的代名词,正悄然成为各大芯片制造巨擘手中那把开启未来之门的钥匙。 普洛帝光刻胶液体颗粒计数器,这位光刻胶微粒世界的“显微镜大师”,以其无与伦比的敏锐洞察力和超凡脱俗的精准度,穿梭于微纳米世界的浩瀚之中。它仿佛一位严谨的科学家,时刻紧盯着光刻胶的每一个细微角落,不放过任何一粒可能扰乱光刻图案纯净与精准的“不速之客”。在它的守护下,芯片制造的每一寸土地都沐浴在纯净与精确的光辉之下,确保了每一块芯片的诞生都承载着对完美的无尽追求。 其流体力学设计的精妙绝伦,如同溪水潺潺,确保了样品在检测过程中的平稳流淌,减少了任何可能的波澜,让测量结果更加贴近真相。而激光散射技术的运用,更是将检测灵敏度推向了前所未有的高度,即便是纳米级的微小颗粒,也难逃其法眼,无所遁形。更令人叹为观止的是,普洛帝还融入了人工智能的智慧之光。它如同一位智慧的导师,能够自动识别并分类不同尺寸的颗粒,为工艺优化提供了宝贵的数据宝藏。这些数据如同繁星点点,指引着生产团队在质量控制的征途中不断前行,快速定位潜在污染源,精准调整工艺流程,让质量控制之路越走越宽广,越走越坚实。展望未来,随着5G、物联网等新兴技术的风起云涌,对芯片性能与可靠性的要求已不再是简单的数字堆砌,而是对极致与完美的无尽追求。普洛帝光刻胶液体颗粒计数器深知此道,它将以更加开放的姿态,拥抱量子传感、机器学习等前沿科技,不断迭代升级,以应对更加复杂多变的生产环境与挑战。同时,它也将积极拓展其应用版图,从半导体制造的深邃蓝海,驶向生物医药、精密机械等更广阔的高精度制造领域,为全球工业升级的壮阔画卷添上浓墨重彩的一笔。
  • 光刻材料与技术专业委员批复成立
    2022年10月29日,中国感光学会光刻材料与技术专业委员会成立大会暨首届光刻材料与技术讨论会在中国科学院理化技术研究所召开。来自全国30多家单位的43名专家委员以现场和线上相结合的形式参加会议。中国科学院理化技术研究所所长、中国感光学会秘书长汪鹏飞,常务副秘书长任俊代表中国感光学会出席了会议。汪鹏飞秘书长在致辞中感谢各位委员对中国感光学会和专委会工作的大力支持,相信在大家的共同努力下,专委会一定能够整合优势力量、促进不同团队之间的学术交流与协作,拓展先进光刻研究和技术领域,为推动我国半导体和芯片产业的科技创新和技术进步做出贡献。任俊常务副秘书长宣读了《关于同意成立中国感光学会光刻材料与技术专业委员会的批复》,并介绍了专业委员会选举办法。根据《中国感光学会分支机构管理办法》,会议通过无记名投票的方式选举产生主任委员1名,副主任委员5名。主任委员由中国科学院化学研究所杨国强研究员担任。韦亚一、孟永钢、康劲、姚树歆、李嫕当选副主任委员,陈金平和郭旭东分别担任专委会秘书长和副秘书长。选举结束后,当选主任委员杨国强研究员对各位委员的信任表示感谢,将与专委会各位成员一起,努力工作,为我国的半导体和芯片产业的发展做出我们的贡献。专委会邀请洪啸吟教授、陈宝钦研究员和彭孝军院士为专委会顾问委员,并请三位顾问在成立大会上致辞。三位顾问对专委会的成立表示祝贺,相信专委会这样一个交流平台,一定会大大促进我国的科研工作者在光刻材料和技术领域中做出更多更好的研究成果。最后,杨国强主任委员介绍了光刻材料与技术专业委员会的重点工作及未来的工作计划。随后进行的学术讨论会邀请了来自全国多所大学、科研院所和企事业单位共10位专家就光刻材料和技术的发展动态和我国的现状及需求作了报告。光刻材料与技术专业委员会于2021年12月经中国感光学会第十届第三次常务理事会批准成立,挂靠单位为中国科学院化学研究所。专业委员会将在涉及光刻材料与技术相关的领域开展综合交叉学术交流,组织专题研讨,促进光刻材料与光刻技术的发展和应用;将加强同国内外光刻材料与技术领域的研究机构与企业、从业人员的联络和合作,促进相关领域科技成果的转移转化和应用。
  • 基于投影微立体光刻的3D打印技术及其应用
    作者:葛锜、李志琴、王兆龙、Kavin Kowsari、张旺、何向楠、周建林、Nicholas X Fang单位:1 Southern University of Science and Technology, China2 BMF Material Technology Inc., Shenzhen, China3 Hunan University, China4 Massachusetts Institute of Technology, USA5 Singapore University of Technology and Design, Singapore1文章导读投影微立体光刻(Projection Micro Stereolithography – PμSL)是一种基于面投影光固化原理的高精度(最高可达0.6微米)增材制造(3D打印)技术。该技术可以用于制造具有跨尺度与多材料特性的高精度复杂三维结构,在力学超材料、光学器件、4D打印、仿生材料及生物医学等领域具有广阔的应用前景。南方科技大学、深圳摩方材科技有限公司、湖南大学、麻省理工学院等单位的葛锜、李志琴、王兆龙、周建林、Nicholas X Fang等作者在《极端制造》期刊(International Journal of Extreme Manufacturing, IJEM)上发表《基于投影微立体光刻的3D打印技术及其应用》综述,系统介绍了投影微立体光刻3D打印技术的研究背景、最新进展及未来展望。2研究背景增材制造,又称3D打印,是一种以数字模型文件为基础,将部件离散成二维图形或者路径,通过逐层叠加的方式构造三维物体的快速成型技术。对比于传统制造方法,3D打印因具有制造高精度复杂三维结构、节省材料、方便快捷等优点,已被应用到航空航天、生物医疗、电子、汽车等国民经济领域。自被发明以来,3D打印发展出了各种不同的技术,包括熔融沉积成型(FDM)、墨水直写(DIW)、喷墨(Inkjet)、立体光刻(SLA)、选区激光烧结/熔融(SLS/SLM)、双光子(TPP),以及基于数字光处理(DLP)的连续液体界面制造(CLIP)、大面积快速打印(HARP)、投影微立体光刻技术(PμSL)等。对比于其他3D打印技术,投影微立体光刻技术因其可同时实现高分辨率与大幅面3D打印(图1),被应用于前沿领域的复杂三维结构制造,并产生了一系列具有影响力的科研成果。南方科技大学葛锜副教授、湖南大学王兆龙助理教授与麻省理工学院Fang教授团队联合深圳摩方材科技有限公司针对投影微立体光刻3D打印技术在最近所做的相关代表性工作逐一地进行了详细介绍。图1 不同3D打印技术的打印精度与幅面范围3最新进展投影微立体光刻是一种通过将构成三维模型的二维离散图案投影到光敏树脂表面,激发局部光固化反应的方式,逐层叠加成型三维结构的3D打印技术。通过对光路系统、光源以及打印工艺的优化,最高打印精度可达到0.6微米。面投影微立体光刻因其能够快速一体化成型高精度、跨尺度、多材料复杂三维结构,在力学超材料、光学器件、4D打印、仿生材料以及生物医药方面应用广泛。深圳摩方科技有限公司将原有投影微立体光刻3D打印技术进行发展与升级(图2a),并成功地将其转化为工业级3D打印装备,实现了稳定的超高精度-大幅面3D打印(精度:2微米,幅面:50毫米×50毫米;精度:10微米精度,幅面:94毫米×52毫米幅面),用于力学超材料、生物医疗器件、微力学器件及精密结构件等工业应用(图2b-j)。图2 投影微立体光刻3D技术及其相关工业级应用。(a)高精度-大幅面投影微立体光刻3D打印技术原理;(b)-(j)工业级应用典型案例。在实现跨尺度、多材料3D打印方面,采用面投影与图形扫描技术相结合的方法实现了跨尺度3D打印(图3a),采用吹气辅助投影微立体光刻法(图3b)与流体控制法(图3c)实现了多材料三维结构的快速打印。图3 跨尺度、多材料3D打印。(a)面投影与图形扫描结合实现跨尺度3D打印;(b)吹气辅助多材料3D打印;(c)流体控制辅助多材料3D打印。在实现力学超材料方面,通过投影微立体光刻3D打印技术一次成型以拉压变形占主导的八隅体桁架结构超轻-超硬力学超材料(图4a),通过多材料投影微立体光刻3D打印技术一次成型由两种不同刚度和热膨胀系数材料构成的负热膨胀系数超材料(图4b)。图4 力学超材料。(a)超轻-超硬力学超材料;(b)负热膨胀系数超材料。在光学器件打印方面,采用面投影立体光刻灰度曝光与表面浸润相结合的方法,实现光学镜头的3D打印(图5a),以及振动辅助与灰度曝光相结合的方法,实现表面纳米级光滑度的微透镜阵列3D打印(图5b)。图5 光学器件。(a)灰度曝光与表面浸润相结合实现光学镜头3D打印;(b)振动辅助与灰度曝光结合实现微透镜阵列3D打印。在4D打印方面,通过开发形状记忆光敏树脂,实现了大变形4D打印(图6a)、多材料4D打印(图6b)、自修4D打印(图6c),4D打印超材料结构(图6d)与4D打印吸能结构(图6e)等案例。图6 4D打印。(a)大变形4D打印;(b)多材料4D打印;(c)自修4D打印 (d)4D打印超材料结构;(e)4D打印吸能结构。4未来展望尽管面投影微立体光刻3D打印技术在近年来取得了快速的发展,但仍面临着如海量的图片数据传输与存储、多材料体素打印精确控制、高精度陶瓷打印等问题,亟待解决。5作者简介葛锜博士葛锜博士,南方科技大学机械与能源工程系长聘副教授。长期从事面投影微立体光刻3D打印技术研究,主要研究领域为4D打印、多功能3D打印、软物质力学、软体机器人、柔性电子等。王兆龙博士王兆龙博士,湖南大学机械与运载工程学院助理教授,长期从事微立体光刻3D打印,光学超材料及微流与热控理论及技术研究,先后参与包括重点国际(地区)合作研究项目及国家重点研发计划在内的多项国家自然科学基金和科技部重点研发项目。目前承担湖南省优秀青年基金及广东省重点领域研发计划等多项科研项目。Nicholas X. Fang博士Nicholas X. Fang博士,麻省理工学院机械系教授,长期从事包括微立体光刻3D打印技术在内的微纳技术研究,研究领域包括纳米光学、声学超材料、微纳制造、软物质等。本篇文章来自专辑:《极端制造》2020年第2期文章
  • 激光外差干涉技术在光刻机中的应用
    激光外差干涉技术在光刻机中的应用 张志平*,杨晓峰 复旦大学工程与应用技术研究院上海市超精密运动控制与检测工程研究中心,上海 201203摘要 超精密位移测量系统是光刻机不可或缺的关键分系统之一,而基于激光外差干涉技术的超精密位移测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程和数米每秒的测量速度等优点,是目前唯一能满足光刻机要求的位移测量系统。目前应用于光刻机的超精密位移测量系统主要有双频激光干涉仪和平面光栅测量系统两种,二者均以激光外差干涉技术为基础。本文将分别对这两种测量系统的原理、优缺点以及在光刻机中的典型应用进行阐述。关键词 光刻机;外差干涉;双频激光干涉仪;平面光栅1 引言集成电路产业是国家经济发展的战略性、基础性产业之一,而光刻机则被誉为集成电路产业皇冠上的明珠[1]。作为光刻机三大指标之一的套刻精度,是指芯片当中上下相邻两层电路图形的位置偏差。套刻精度必须小于特征图形的1/3,比如14 nm节点光刻机的套刻精度要求小于5.7 nm。影响套刻精度的重要因素是工件台的定位精度,而工件台定位精度确定的前提则是超精密位移测量反馈,因此超精密位移测量系统是光刻机不可或缺的关键分系统之一[2-4]。随着集成电路特征尺寸的不断减小,对位置测量精度的需求也不断提高;同时,为了满足光刻机产率不断提升的需要,掩模台扫描速度也在不断提高,甚至达到 3 m/s 以上;此外,为了满足大尺寸平板显示领域的需求,光刻机工件台的尺寸和行程越 来越大,最大已达到 1. 8 m×1. 5 m;最后,为了获得工件台和掩模台良好的同步性能,光刻机还要求位置测量系统具备多轴同步测量的功能,采样同步不确定性优于纳秒级别[5-8]。 综上,光刻机要求位置测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程、数米每秒测量速度、闭环反馈以及多轴同步等特性。目前,在精密测量领域能同时满足上述测量要求的,只有外差干涉测量技术。 本文分别介绍外差干涉测量技术原理及其两 种具体结构——双频激光干涉仪和平面光栅测量系统,以及外差干涉技术在光刻机中的典型应用。 2 外差干涉原理 2. 1 拍频现象 外差干涉又称为双频干涉或者交流干涉,是利用“拍频”现象,在单频干涉的基础上发展而来的一 种干涉测量技术。 假设两列波的方程为 x1 = A cos ω1 t , (1) x2 = A cos ω2 t 。 (2) 叠加后可表示为(3)拍频定义为单位时间内合振动振幅强弱变化 的次数,即 v =| (ω2 - ω1)/2π |=| v 2 - v 1 | 。 (4) 波 x1、x2 以及合成后的波 x 如图 1 所示,其中包 络线的频率即为拍频,也称为外差频率。如果其中一个正弦波的相位发生变化,拍频信号的相位会发生完全相同的变化,即外差拍频信号将完整保留原始信号的相位信息。 图 1. 拍频示意图Fig. 1. Beat frequency diagram对于激光而言,因为频率很高(通常为 1014 Hz 量级),目前的光电探测器无法响应,但可以探测到两束频率相近的激光产生的拍频(几兆到几十兆赫兹)。因此拍频被应用到激光领域,发展成激光外差干涉技术。2. 2 外差干涉技术 由拍频原理可知 ,所谓外差就是将要接收的信号调制在一个已知频率信号上,在接收端再将该调制信号进行解调。由于高频率的激光信号相位变化难以精确测量,但利用外差干涉技术可以用低频拍频信号把高频信号的 相位变化解调出来,将大大降低后续精确鉴相的难度。因此,外差技术最显著的特点就是信号以交流的方式进行传输和处理。 与单频干涉技术相比,外差干涉技术的突出优点是:1)由于被测对象的相位信息是加载在稳定的差频(通常几兆到几十兆赫兹)上,因此光电探测时避过了低频噪声区,提高了光电信号的信噪比。例如在外界干扰下,测量光束光强衰减 50% 时,单频干涉仪很难正常工作,而外差干涉仪在光强衰减 90% 时仍能正常工作 ,因此更适用于工业现场 。 2)外差干涉可以根据差频信号的增减直接判别运动方向,而单频干涉技术则需要复杂的鉴相系统来 判别运动方向。单频干涉技术与外差干涉技术对比如表 1 所示。表 1. 单频干涉技术与外差干涉技术对比Table 1. Comparison between homodyne interferometry and heterodyne interferometry3双频激光干涉仪 3. 1 双频激光干涉仪原理 双频激光干涉仪是在单频激光干涉仪的基础上结合外差干涉技术发展起来的,其原理如图 2 所 示。双频激光器发出两列偏振态正交的具有不同频率的线偏振光,经过偏振分光器后光束被分离。 图 2. 双频激光干涉仪原理图Fig. 2. Schematic diagram of dual frequency laserinterferometer设两束激光的波动方程为 E1 = E R1 cos ( 2πf1 t ) E2 = E R2 cos ( 2πf2 t ) , (5) 式中:ER1和 ER2为振幅;f1和 f2为频率。 偏振态平行于纸面的频率为 f1 的光束透过干涉仪后,被目标镜反射回干涉仪。当被测目标镜移动时,产生多普勒效应,返回光束的频率变为 f1 ± Δf, Δf 为多普勒偏移量,它包含被测目标镜的位移信息。经过干涉镜后,与频率为 f2 的参考光束会合,会合后光束发生拍频,其光强 IM函数为 (6) 式(6)包含一个直流量和一个交流量,经光电探测器转换为电信号,再进行放大整形后,去除直流量,将交 流量转换为一组频率为 f1 ± Δf- f2的脉冲信号。从双频激光器中输出频率为 f1 - f2 的脉冲信 号,作为后续电路处理的基准信号。测试板卡采用减法器通过对两列信号的相减,得到由于被测目标 镜的位移引起的多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为 (7) 式中:λ 为激光的波长;N 为干涉的条纹数。因此, 只要测得条纹数,就可以计算出被测物体的位移。 3. 2 系统误差分析 双频激光干涉仪的系统误差大致由三部分组成:仪器误差、几何误差以及环境误差,如表 2 所示。 三种误差中,仪器误差可控制在 2 nm 以内;几何误 差可以通过测校进行动态补偿,残差可控制在几纳米以内;环境误差的影响最大,通常可达几十纳米到几微米量级,与测量区域的环境参数(温度、压 力、湿度等)有关,与量程几乎成正比,因此大量程测量时,需要对环境参数进行控制。 表 2. 双频激光干涉仪系统误差分解Table 2. System error of dual frequency laser interferometer4 平面光栅测量系统 双频激光干涉仪在大量程测量时,精度容易受 温度、压力、湿度等环境因素影响,研究者们同样基于外差干涉原理研发了平面光栅测量系统,可克服双频激光干涉仪的这一缺点。 4. 1 基于外差干涉的光栅测量原理 众所周知 ,常规的光栅测量是基于叠栅条纹的,具有信号对比度差、精度不高的缺点。基于外差干涉的光栅测量原理如图 3 所示,双频激光器发出频率 f1 和 f2 的线偏振光,垂直入射到被测光栅表面,分别进行+1 级和−1 级衍射,衍射光经过角锥反射镜后再次入射至被测光栅表面进行二次衍射, 然后会合并沿垂直于光栅表面的方向返回。由于被测光栅与光栅干涉仪发生了相对运动,因此,返回的激光频率变成了 f1 ± Δf和 f2 ∓ Δf,其中 Δf为多 普勒频移量,它包含被测目标镜的位移信息。 图 3. 基于外差干涉的光栅测量原理Fig. 3. Principle of grating measurement based on heterodyne interference会合后的光束 f1 ± Δf 和 f2 ∓ Δf 发生拍频,其频率为 ( f1 ± Δf ) - ( f2 ∓ Δf ) = ( f1 - f2 ) ± 2Δf。(8) 式(8)的信号与双频激光器中输出频率为 f1 - f2 的 参考信号相减,得到多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为(9) 式中 :p 为光栅的栅距 ;N 为干涉的条纹数 。 因此,只要测得条纹数 ,就可以计算出被测物体的位移。 上述原理推导是基于一维光栅刻线的,只能测量一维运动。为了获得二维测量,只需将光栅的刻线由一维变成二维(即平面)即可。 4. 2 两种测量系统优缺点对比 由此可知,基于外差干涉的光栅测量原理与双频激光干涉仪几乎完全相同,主要的差别是被测对象由反射镜换成了衍射光栅。两种测量系统的优缺点如表 3 所示。表 3. 双频激光干涉仪与光栅测量系统对比Table 3. Dual frequency laser interferometer versus gratingmeasurement system5外差干涉测量在光刻机中的应用 发展至今,面向 28 nm 及以下技术节点的步进扫描投影式光刻机已成为集成电路制造的主流光刻机。作为光刻机的核心子系统之一的超精密工件台和掩模台,直接影响着光刻机的关键尺寸、套刻精度、产率等指标。而工件台和掩模台要求具有高速、高加速度、大行程、超精密、六自由度(x、y 大 行程平动,z 微小平动,θx、θy、θz微小转动)等运动特点,而实现这些运动特点的前提是超精密位移测量反馈。因此,基于外差干涉技术的超精密位移测量子系统已经成为光刻机不可或缺的组成部分。 4. 光刻机中的多轴双频激光干涉仪[10]Fig. 4. Multi-axis dual frequency laser interferometer in lithography machine[10]图 4 为典型的基于多轴双频激光干涉仪的光刻机工件台系统测量方案[10],在掩模台和硅片台的侧面布置多个多轴激光干涉仪,对应地在掩模台和硅 片台上安装长反射镜;通过多个激光干涉仪的读数解算出掩模台和硅片台的六自由度位移。 然而,随着测量精度、测量行程、测量速度等运动指标的不断提高,双频激光干涉仪由于测量精度易受环境影响、长反射镜增加运动台质量致使动态性能差等问题难以满足日益提升的测量需求。因 此,同样基于外差干涉技术的平面光栅测量系统成为了另一种选择[8]。 光刻机工件台平面光栅测量技术首先由世界光刻机制造巨头 ASML 公司取得突破。该公司于 2008 年 推 出 的 Twinscan NXT:1950i 浸 没 式 光 刻机,采用了平面光栅测量技术对 2 个工件台的六自 由度位置进行精密测量。如图 5 所示,该方案在主基板的下方布置 8 块大面积高精度平面光 栅(约 400 mm×400 mm),在两个工件台上分别布置 4 个 平面光栅读数头(光栅干涉仪),当工件台相对于平 面光栅运动时,平面光栅读数头即可测出工件台的 运动位移[2,5,9]。图 5. ASML 光刻机的平面光栅测量方案[2,5,9]Fig. 5. Plane grating measurement scheme of ASML lithography machine[2,5,9]相比多轴双频激光干涉仪测量方案,平面光栅测量方案具有以下优点:1)测量光路短(通常小于 20 mm),因此测量重复精度和稳定性对环境变化不 敏感;2)工件台上无需长反射镜,因此质量更轻、动态性能更好。 然而,平面光栅测量方案也有其缺点:1)大面积高精度光栅制造难度太大;2)由式(9)可知,位移 测量结果以栅距 p 为基准,然而受栅距均匀性限制, 测量绝对精度不高。为了获得较好的精度和线性度,往往需要利用双频激光干涉仪进行标定。 面临极端测量需求的挑战 ,Nikon 公 司 在 NSR620D 光刻机中采用了平面光栅和双频激光干涉仪混合测量的技术方案[9],如图 6 所示。该方案 将平面光栅安装在工件台上表面,而将光栅读数头安装在主基板下表面,同时增加了双频激光干涉仪,结合了平面光栅测量系统和双频激光干涉仪的 优点。在读头与读头切换时采用双频激光干涉仪进行在线校准。 图 6. Nikon光刻机混合测量方案[9]Fig. 6. Hybrid measurement scheme of Nikon lithography machine [9]6激光外差干涉系统的发展趋势 无论是双频激光干涉仪还是平面光栅测量系统,要想获得纳米级测量精度,既需要提高测量系统本身的精度,更需要从使用的角度努力,即“三分 靠做,七分靠用”。 就激光外差干涉测量系统本身而言,误差源主要来自于光学非线性误差。在外差干涉测量系统 中,由于光源及光路传输过程各光学器件性能不理想或装调有偏差,会带来两个频率的光混叠现象, 即原本作为测量信号频率 f1(或 f2)的光中混杂了频 率 f2(或 f1)的光,或原本作为参考信号频率 f2(或 f1) 的光中混杂了频率 f1(或 f2)的光。在信号处理中该混叠的频率信号会产生周期性的光学非线性误差。尽管目前主流的双频激光干涉仪厂家已经将非线性误差控制在 2 nm 以内[10- 12],但应用于 28 nm 以下光刻机时仍然需要进一步控制该误差。国内外众多学者从非线性误差来源、检测和补偿等角度出发,进行了大量研究并取得了丰硕成果[13- 17]。这些成果有望对非线性误差的动态补偿提供理论支持。 从应用角度,研究热点主要集中在应用拓展、 安装误差及其测校算法、环境参数控制及其补偿方法研究等方面。在应用拓展方面,激光外差干涉技术除了应用于测长之外,还在小角度测量、直线度、平面度、反馈测量等方面取得了应用[18- 20]。在安装误差和环境误差补偿算法方面,主要聚焦于多自由度解耦算法、大气扰动补偿等研究方向[4,21- 27]。 7 总结 阐述了光刻机对位移测量系统大量程、亚纳米 分辨率、纳米精度、高测速及多轴同步的苛刻要求。 概述了激光外差干涉技术原理,指出目前为止,激光外差干涉技术是唯一能满足光刻机上述要求的超精密位移测量技术。并综述了两种基于激光外差干涉技术的测量系统:双频激光干涉仪和平面光栅测量系统。总结了这两种位移测量系统在光刻机中的典型应用,以及激光外差干涉技术的当前研究热点和发展趋势。全文详见:激光外差干涉技术在光刻机中的应用.pdf
  • 一种有望替代电子束光刻的新技术
    目前光刻技术存在被美国“卡脖子”,不只是工业用的,包括科研用的电子束曝光机也只能购买到落后国外两三代的产品。而电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究,是半导体微电子制造及纳米科技的关键设备、基础设备。3D纳米结构高速直写机的技术起源光刻技术严重制约着我国半导体工业及科研领域的发展。近年来,一种基于热扫描探针光刻技术的产品3D纳米结构高速直写机有望替代电子束曝光机。3D纳米结构高速直写机(NanoFrazor)的主要技术起源于上世纪九十年代,由诺贝尔奖获得者Binnig教授在IBM Zurich实验室所主导的千足虫计划。该计划原本的目标是用类似原子力显微镜探针的热探针达到1Gb/s的高速数据存储读写。图1为千足虫计划中,制备的热探针的扫描电子显微图像。[1]图1. 千足虫计划所制备的热探针的扫描电子显微图像。[1]2010年后,研究团队逐渐把研究热点从数据的高速读写逐渐转向了扫描热探针用于高精度灰度光刻技术(t-SPL)。随着t-SPL技术的逐渐成熟,2014年推出了首款商业化高精度3D纳米结构高速直写机,NanoFrazor Explore 图2b)。为满足市场的不同需求,2017年推出台式系统NanoFrazor Scholar,图2a)。[2]图2 不同型号的NanoFrazor。a)为台式NanoFrazor Scholar系统,b)为旗舰型NanoFrazor Explore。[2]随后,于2019年无掩模激光直写系统被成功地整合到了旗舰型NanoFrazor Explore系统中,实现了在NanoFrazor中从微米加工到纳米加工的无缝衔接。有望替代电子束光刻技术目前NanoFrazor的技术主要用于科研院所的高端纳米器件制备,已有集成激光直写的系统以加快大尺寸大面积微纳米结构的刻写。由10根探针组成的探针阵列已经在Beta客户端测试中。在和IBM苏黎世的合作项目中已经开始了用于工业批量生产的全自动系统的原型设计。。NanoFrazor的优势体现在以下几个方面。首先,NanoFrazor是首款实现3D纳米结构直写的光刻设备,其垂直分辨率可高达1nm。因此,此设备不仅可以制备在2D方向上高分辨率复杂图案的无掩模刻写,还可以制备3D复杂纳米结构,例如复杂的光学傅里叶表面结构,图3所示。[3]图3,用NanoFrazor制备的光学傅里叶表面结构。[3]第二,由于NanoFrazor的光刻原理是通过热探针直接在热敏胶上进行刻写,与热探针接触的胶体部分被直接分解,与电子束曝光(EBL)技术相比所制备的图案不会被临近场效应所影响。因此使用t-SPL技术制备的器件,光刻胶可以被去除的非常干净,从而改善半导体材料和金属电极的接触情况,提高电子器件的性能。图4为NanoFrazor工艺中所用的热敏胶和EBL工艺中所用的光敏胶在去胶工艺后的光刻胶表面残留情况。[4]图4 采用t-SPL技术和EBL技术去胶后光刻胶表面残留对比,图中比例尺为500nm。[4]第三, 由于NanoFrazor所采用的的t-SPL光刻技术,避免了电子注入对材料的损伤,特别适合电子敏感类材料相关器件的制备。与此同时NanoFrazor针尖虽然温度很高,但是和样品的接触面积只有纳米尺度,所以样品表面不会受到高温影响,样品表面温度升高小于50度。第四,传统光刻技术中,需要通过显影才能观察到光刻图案。而使用t-SPL技术进行光刻时,热敏胶直接被热探针分解,然后再通过同步成像系统可以立即得到刻蚀图案的形貌。同时使用闭环控制刻写深度,保证纵向1nm的刻写精度。在实际使用中,可以对样品表面已有的微结构成像,实时设计套刻图案进行刻写,非常适合科学科研和新品研发。此外,相比于传统的电子束刻蚀等技术产品,NanoFrazor可以在常温常压环境中使用,维护简单费用低。其主要耗材为热探针,耗材费用将低于目前通用的电子束刻蚀系统的耗材维护费用。科研领域的得力干将目前情况来看,国内和国外的主要用户都集中在科研院所。这一特点在推广尚属早期的国内市场尤为突出。QD中国正在尽全力把NanoFrazor和相关技术介绍给中国区的用户。NanoFrazor在国内的高精度3D光刻领域暂无竞争对手,在2D光刻领域与EBL存在着某些重叠。NanoFrazor产于中立国瑞士,受国际政治影响较小。热敏胶由德国AllResist公司生产销售,热探针目前仍然由IBM苏黎世供应,计划明年由德国IMS公司生产提供,不存在卡脖子问题。凭借强大的性能,NanoFrazor帮助科研人员在多领域中取得了一系列优秀成果。在光学方面,苏黎世联邦理工的Nolan Lassaline等人使用NanoFrazor制备了周期性和非周期性的光学表面结构。[3] 制备的多元线性光栅允许利用傅里叶光谱工程精确调控光信号。实验表面,使用NanoFrazor制备的任意3D表面的方法,将为光学设备(生物传感器,激光器,超表面和调制器)以及光子学的新兴区域(拓扑结构,转换光学器件和半导体谷电子学)带来新的机遇。该论文已于2020年经发表于Nature。在电子学方面,纽约城市大学的Xiaorui Zheng等人利用NanoFrazor制备了基于MoS2的场效应管。[4] 他们的研究结果表明,使用t-SPL技术制备的器件很好地解决了困扰EBL工艺的非欧姆接触和高肖脱基势垒等问题。器件的综合电子学性能也远优于传统工艺所制备的器件。该论文于2019年发表于Nature Electronics。在3D微纳加工方面,IBM使用NanoFrazor制备的纳米微流控系统控制纳米颗粒的输运方向,并成功分离不同大小尺寸的纳米颗粒,直径相差1nm的纳米颗粒可以用此方法进行分离[6]。该方法可以用于分离样品中的病毒等纳米物体。该论文于2018年发表于Science。IBM苏黎世研究院的Pires等人利用NanoFrazor的3D加工工艺,成功地制备出了高度仅为25nm的瑞士最高峰马特宏峰,如图5所示。[5] 后经吉尼斯世界纪录认证为世界上最小的马特宏峰。优于新颖的加工工艺和优异的3D加工精度,该论文与2010年发表于Science。图5 利用NanoFrazor制备的高度仅有25nm的世界最小马特宏峰。[5]在二维材料研究方面,NanoFrazor的热探针可以直接用于二维材料的掺杂[7],切割[8]和应力调制[9],开创了二维材料器件制备的新方法。论文于2020年发表于Nature Communications, Advanced Materials和NanoLetters等期刊上。目前国内用户对NanoFrazor在实验上的表现十分满意,已有国内用户在Advanced Materials等顶级期刊发表文章。关于QUANTUM量子科学仪器QUANTUM量子科学仪器贸易(北京)有限公司(以下简称QDC)是世界知名的科学仪器制造商——美国 Quantum Design International 公司(以下简称QD Inc.)在全世界设立的诸多子公司之一。QD Inc.生产的 SQUID 磁学测量系统 (MPMS) 和材料综合物理性质测量系统 (PPMS) 已经成为世界公认的顶级测量平台,广泛的分布于世界上几乎所有材料、物理、化学、纳米等研究领域尖端的实验室。同时QD Inc.还利用自己遍布世界的专业营销和售后队伍打造一个代理分销网络,与世界其他领先的设备制造商合作,为其提供遍布全球的专业产品销售和售后服务网络,2007 年QD Inc.并购了欧洲最大的仪器分销商德国 LOT 公司,使得QD Inc.全球代理分销和售后网络变得更加完整和强大。由于在华业务的不断发展,QD Inc.于2004年在中国注册成立了全资中国子公司QDC。经过10多年的耕耘发展,目前QDC拥有一支高素质的科学技术服务队伍,其中技术销售及售后技术支持团队全部由硕士学历以上人才组成(其中近70%为博士学历),多年来为中国的顶级实验室和科研机构提供专业科学仪器设备、技术支持、以及科技咨询服务。这些优秀的雇员都曾被派往美国总部及欧美日等尖端科研仪器厂家进行专业系统的培训,经过公司十多年的培养,成为具有丰富的科学实验仪器应用经验的专家。他们为中国的研究机构带来了最尖端的产品和最新的科技动态,为中国科研人员的研究工作提供了强有力的支持。QDC作为引进先进技术设备进入中国的桥梁,靠着过硬的尖端产品、坚实的技术实力、一流的服务质量赢得了中国广大科研客户的赞誉。Quantum Design中国子公司还积极致力于发展与中国本地科学家的合作,并将先进的实验室技术通过技术转移进行商业化。目前Quantum Design中国子公司正立足于公司本部产品,积极致力于材料物理、纳米表征和测量技术、生物及生命科学技术领域的新业务。Quantum Design中国子公司已逐渐成为中国与世界进行先进技术、先进仪器交流的一个重要桥头堡。引用文献1. Eleftheriou, E., et al., Millipede-a MEMS-based scanning-probe data-storage system. IEEE transactions on magnetics, 2003. 39(2): p. 938-945.2. https://heidelberg-instruments.com/product/nanofrazor-explore/ .3. Lassaline, N., et al., Optical fourier surfaces. Nature, 2020. 582(7813): p. 506-510.4. Zheng, X., et al., Patterning metal contacts on monolayer MoS 2 with vanishing Schottky barriers using thermal nanolithography. Nature Electronics, 2019. 2(1): p. 17-25.5. Pires, D., et al., Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science, 2010. 328(5979): p. 732-735.6. Skaug et al., Nanofluidic rocking Brownian motors. Science, 2018. 359: p. 1505-1508.7. Zheng, X, et al., Spatial defects nanoengineering for bipolar conductivity in MoS2. Nature Communications, 2020. 11:3463.8. Liu, et al., Thermomechanical Nanocutting of 2D Materials. Advanced Materials.9. Liu, et al., Thermomechanical Nanostraining of Two-Dimensional Materials. NanoLetters.关注Quantum Design中国官方微信公众号,了解更多前沿资讯!(Quantum Design 中国 供稿)
  • 微光刻老科学家的故事:亲历光刻技术发展六十年——访中国科学院微电子研究所陈宝钦教授
    近年来,美国对中国芯片产业不断围追堵截。受此影响,中国半导体产业开始加大研发力度,尽可能缩短打破海外技术垄断的时间。在业内外看得见的数据背后,是国家的鼎力支持、企业和科研人员的不懈坚持。有这样一群老科学家,他们将自己的一生奉献给了中国半导体事业。已经80岁高龄的科学家陈宝钦就是其中之一,一辈子都在从事光掩模制造与光刻技术相关的工作。仪器信息网有幸采访到这位多年来为祖国半导体事业奔波的老科学家——陈宝钦教授。从矿石收音机与半导体结缘陈宝钦教授1942年出生于福州郊区的一个农民家庭,而世界上第一台现代电子数字计算机埃尼阿克(ENIAC),也诞生于1946年2月14日的美国宾夕法尼亚大学,当时的计算机是由如同电灯泡一样的真空管组成,也称之为电子管,直到1947年,世界上才出现了第一只半导体晶体管,这时的陈老师也才是个五岁的农村孩子。而陈宝钦14岁念初中时,突然好奇地按一本科普杂志《知识就是力量》中所介绍方法,利用一根大头钉插在一小块锗矿石上,加上耳机、漆包线线圈和可变电容组装了一个简易的锗矿石收音机,居然非常奇妙地能听到各种各样大大小小微弱的电台吱吱哇啦广播声响。虽然这只是最简单的收音机,可就是这个最原始的《矿石收音机》在他心中播下了半导体科技的种子,启蒙了陈宝钦接下来的半导体结缘之路。上世纪五十年代,我国制版光刻领域还是空白。半导体处在起步阶段,在黄昆、谢希德、林兰英、王守武、黄敞、高鼎三、吴锡九等归国老一辈科学家的带领下,我国的半导体技术教育、科研和产业开始蹒跚起步。当时全国开始了半导体产业的建设,周总理主持制定“十年科学技术发展远景规划纲要”,将半导体科技等列为国家重要科技项目。由北大、复旦、南大、厦大、东北人大(吉林大学前身)等五校在北大成立联合半导体专门化。1960年,18岁的陈宝钦考入了北京大学物理系物理专业,并于1963年被分到半导体专门化,师从黄昆老师。毕竟能考入北京大学,也是来自福建学生中的佼佼者,在北京大学前两年的基础课学习中没有什么问题。但在后两年发现开始吃力,毕竟受制于从农村背景出来的孩子,无论在知识面还是各方面基础上都逐渐跟不上来自北京上海大城市同学。尤其是自己记忆力差,反应能力也慢,显然有点笨,有点打退堂鼓准备回家跟奶奶种地去。后来在在一位非常要好的北京同学鼓励下,争口气,坚持下来。虽然来自农村的孩子与来自大城市的孩子相比,智商不足,但是可以用勤奋来补救,从此陈老师采用笨鸟先飞的方法,充分发挥自己超强的联想分析能力、图像识别能力和归纳总结本领,实现了学业上的追赶和逆袭。陈宝钦回忆说,“自己为了跟上老师讲课,课堂上的笔记如鬼画符,时间久了自己都不知道画的什么符,所以每天晚上都躲在学生宿舍楼拐角上一间小会议厅桌子堆里,将白天的笔记认真地整理成图文并茂工工整整的另一套笔记。实践证明,这种学习方法,产生了奇迹般的效果。尤其是原子物理学的一大串经验公式没有什么道理,真的记不住,采用这种办法居然都能记住了,即使忘了,翻到那一页,不用细看,很快就想起来了。”说明勤奋可以补救智商的不足,实现追和赶!采访过程中,陈老师还展示了几十年保存下来他当年自己耐心整理的工工整整的学习笔记本应1966年毕业的陈宝钦,由于文革的缘故,1968年才分配工作到中国科学院半导体所。从26岁到半导体所开始,陈宝钦正式开启了其半导体职业生涯。而这些宝贵的经历也为陈宝钦未来在半导体的科研求索之路奠定了精神基础。亲历光刻技术发展六十年亲历中国光刻技术发展六十年,陈宝钦已到耄耋之年,花白的头发和风风火火的步伐,显得神采奕奕,精神抖擞。“我年轻的时候喊过一句口号叫做要健康为祖国工作五十年,现在我做到了,这几十年中,最脏的活干过、最苦的活干过、最累的活干过、最危险的活也干过,但是,一辈子最有意思的就是从事微电子、微光刻、电子束光刻研究工作,以及指导研究生、青少年的科普工作。”采访中,他对自己的半导体人生这样总结道。年轻时这些艰苦的磨炼成为他的人生珍贵的精神财富。正如其所言,从青年时代到耄耋之年,陈宝钦参与到了诸多半导体研究中,将自己的研究经历总结为“一辈子就干一件事:光掩模制造与光刻技术,见证了中国微电子技术特别是光刻技术的从无到有的发展整个过程。”上世纪六十年代是人工为主的制版光刻技术萌芽年代。1968年,陈宝钦从北京大学刚进入半导体所工作时,整个半导体工艺全是手工的,而他则从利用手术刀、坐标纸和钢板尺手工刻版图,自制光刻胶(感光胶),采用电子束蒸发工艺自制铬板和镉板开始,从事光刻版(光掩模)制造工艺,也曾用废旧的显微镜搭建简易的曝光装置。人工为主的制版光刻技术萌芽年代,沿用古老传统的照相术及显微镜缩小曝光七十年代,我国科学家王守觉看到国外同行发表的用拼图感光方式产生版图的图形发生器论文,证实了他早在1965年提出的创新设想并在1966年初见成效的制版途径的正确性,成功地改造成了我国第一台能自动制版的积木式图形发生器。在1975年,33岁的陈宝钦也参加了王守觉先生的团队,把一台工具显微镜改造成“图形发生器”实验并参加了几项光刻机研制工作。而在同期除手动半自动的劳动牌光刻机外,因为电路器件的可靠性稳定性不过关的问题,当年研发的光刻设备基本上都成了一堆废铁。在这段研究岁月中,因为正好遇上唐山大地震,整个研究所就剩下陈老师和在楼道望风的实验室马俊如主任,就在那样的条件下用手工摇曝光机制备第一批1k位规模的集成电路掩模版,乃至的后来4k、16k、64k位规模的集成电路掩模版。在1979 年和1981年的中国科学院科技进步一等奖中,陈宝钦正是负责其中掩模制造,在其中扮演了重要角色。1982年中国科学院授予先进工作者称号。中国科学院京区先进工作者上世纪八十年代,陈宝钦开始研发光学分辨率增强技术之一的相移掩模技术,让只有1微米加工能力的精缩机,通过自已制备的全透明掩模掩模曝光成功完成了制备180纳米的线条和60纳米的园点阵列的实验。科学院科技进步奖证书此外,基于多年的研发经验,陈宝钦还研究出了一种别具特色的光学图形合成技术。这是一种基于高精度光学分步重复精密缩小照相机(简称精缩机)进行光学图形投影拼接成像的一种方法。由于精缩机的图形拼接精度高于光学图形发生器,可以利用精缩机的分步重复功能,采用各种称之为“图形词汇”的图形单元高效率地拼接出高精度的周期性比较强的大面积图形,也可以采用不同的“图形词汇”拼出各种高精度复杂的图形。当年正是基于独特的用微米级精缩机当"光学图形发生器,实现了亚微米精度的《图形合成技术》。由于当年没有激光图形发生器,更没有电子束图形发生器。为了帮助中国科学院长春光机所完成高精度圆光栅模版的任务,就是采用这种光学图形合成技术手工拼接的方法圆满地完成了任务,获得了中国科学院二等奖及国家科技进步三等奖。通过在掩模制造中的深度钻研,陈宝钦的能力也获得了认可。1986年,109厂与中国科学院半导体研究所、计算技术研究所有关研制大规模集成电路部分人员合并成立中国科学院微电子中心(中科院微电子所前身)。在此之前,1985年,陈宝钦便只身一人带着嫁装(GCA3696PR精缩机)从中国科学院半导体研究生来到微电子中心和原来科学院109厂制版工艺室的六位制版技术人员(带GCA3600FS光学图形发生器)组建了一个计算机辅助设计光掩模制造小组,从事计算机辅助光掩模制造工艺及介观物理课题光学光刻分辨率增强技术研究。上世纪九十年代是国际上集成电路特征尺寸向深亚微米推进的十年,同期我国改革开放引进了5~8英寸的生产线,开展了亚微米加工技术研究,逐渐开始进入以电子束光刻高精度制版光刻年代。1992年,50岁的陈宝钦来到美国ETEC公司考察电子束光刻机和多头电子束光刻技术,并着手于电子束投影光刻的研发。自此,陈宝钦的工作内容也由特征尺寸100~0.5微米的光学光刻转向精度更高的电子束光刻技术,在1994年参加了中国科学院电工研究所的顾文琪老师从美国引进的二手JEOL6AII电子束可变矩形束掩模曝光机改造升级实验工作。开始有了电子束掩模制版系统。1992年以后,在以陈宝钦为代表的老科学家们的努力下,我国的微纳加工技术从光学曝光的深亚微米一直做到现在的电子束光刻20纳米,10纳米,乃至能够找到5纳米。尽管我们设备条件落后国外三到五代,经费比国外同样的课题少100倍,甚至1000倍的条件下始终紧紧咬住国际先进水平不松口,与国外同步地开展纳米加工技术的研究。中国科学院微电子研究所JBX6300FS电子束光刻系统巧合的是日本爱徳万测试株式会社的字符式投影电子束光刻系统所采用硅镂空掩模版的图形字符库技术与当初陈宝钦研究出的光学图形合成技术的图形词汇库基于相同的原理,异曲同工。当初正是基于这种掩模图形词汇库技术,陈宝钦始终走在国内掩膜光刻技术的前沿,完成了一系列的技术突破。如今的陈宝钦身体很硬朗,在2018年实现了自己年轻时的承诺,做到了为祖国健康工作50年,而在从事微光刻技术研究开发40年间将特征尺寸缩小1000倍集成度提高千万倍。为祖国半导体事业奔波,播种微电子的种子三十年前,国内半导体设备和材料标准化工作刚刚起步,与国际水平相去甚远。面对此情此景,引进、吸收国际标准,转化为国家标准就显得尤为重要。基于此陈宝钦老师就开始投身半导体设备和材料标准化工作,1991年9月24日,国家技术监督局组织成立“中国SEMI标准化工作组”,翻译出版SEMI标准1990中译版。1992年,SEMI中国标准化委员会成立,正式开启了中国半导体设备与材料的标准化历程。2003年,全国标准化技术管理委员会SEMI中国更名为“全国半导体设备与材料标准化技术委员会”,并申请筹建了“微光刻分技术委员会”。如今,陈宝钦就任全国半导体设备和材料标准化委员微光刻分技术委员会秘书长。2022年第十二届微光刻分技术委员会年会也由合肥芯碁公司承办,在合肥召开。但实际上微光刻分技术委员会在刚成立的前十届年会都是筹的状态。由于国标委没有正式批复成立,委员会也只能自筹经费。“在微光刻分技术委员会长达十年的筹备历程中,一分经费也没有,怎么办?”,陈教授回忆最初筹备年会时的情况道。面对缺少经费的困境,陈教授联想到了奥运会的申办制,于是决定年会争取发动与微光刻技术相关的企业、高等院校、科研院所的积极性,自愿申报承办会议的办法,并且把每年的微光刻技术交流会和微光刻标准化技术年会合并进行,同时欢迎国外与微光刻建设及半导体设备和材料的厂家参加我们的标准化技术和微光刻技术交流会。结果会议很受欢迎,申请承办年会的单位居然排队到2030年。好事多磨,在经历了多年的努力下,终于在2020年5月26日,国家标准化建设管理委员会正式批准成立全国半导体设备和材料标准化委员微光刻分技术委员会。微光刻分技术委员会在筹建的这些年里,组织编撰的标准《微电子学微光刻技术术语》报批稿15万字,涉及十一组分类和一千五百条专业术语,配套的宣贯手册稿达25万字,极大的推动了我国在微光刻领域的标准化工作。该标准规定了与微电子学微光刻技术有关的微电子光刻技术术语;先进光刻技术术语;微光刻图形化和图形数据处理技术术语;微光刻感光材料、铬板与基片术语;光掩模与先进掩模技术术语;光刻工艺(曝光、刻蚀与微纳米加工)技术术语;电子束掩模制造与直写技术术语;光刻及掩模质量参数测量和评定术语;掩模制造设备和微光刻设备术语。陈教授心系祖国微电子事业发展,将一生奉献给祖国微电子事业。退休后除了奋斗在科研第一线和研究生教学第一线外,也活跃在科普第一线,当微电子科学播种机,致力于激发祖国的花朵对微电子的热情,为祖国的微电子事业发展和人才培养持续发光发热。这些年来,陈宝钦始终为青少年和娃娃们作科普讲座,希望将来在他们中间有的能成长成为微电子科技工匠。由于近五年陈宝钦在全国各地进行了数百场科普讲座,为全国青少年科普工作做出重要贡献,2021年中国科学院授予陈宝钦教授十三五期间科普工作先进个人荣誉称号。2022年4月26日在上海大学线上空中课堂中进行的一场《先进光刻技术》讲座的受众近三万人,2022年5月1日在北京大学网络学院校友会亲子科普直播《微电子如何把沙子炼造成芯片,以魔方为例谈谈学习方法问题》讲座的受众也近一万人。陈宝钦认为,科普要从娃娃抓起,更要从幼儿园开始播种微电子的种子。每次讲座中,陈教授都以魔方为例畅谈学习方法,生动活泼的科技课堂受到师生的一致好评。陈宝钦从自身经历谈起,到光刻技术发展史,再到半导体集成电路关键工艺技术,最后以魔方为引,启示青少年如何做人、做事、做学问,助力青少年科技创新。也由此收获了诸多“铁粉”。 陈宝钦寄语半导体制造工艺是人类迄今为止最精细的加工工艺,它要求:最完美的半导体晶体材料、最精密的制造设备、最纯净的气体和化学品材料、最精准的工艺技术、最洁净的厂房环境、最敬业的团队成员,这几个条件缺一不可。需要整个社会重建诚信、重视工匠精神、重整学术道德、重塑民族素质才有希望实现追和赶。本来科学与技术都是全人类的共同财富,可是现在世界上有的政客要把它霸占为己有,我们中国集成电路发展遇到百年变革的挑战和机遇 ,面对无赖堵劫,中国已经没有退路! 老祖宗告诉我们别理这般小人! 管好自己的人,看好自己的门,做好自己的事!高端集成电路芯片制造技术和高端光刻机都是世界科技的珠穆朗玛峰,也是一次新的万里长征,需要以攀登科学高峰的精神,踏踏实实努力追和赶,别想投机取巧弯道超车。做好每一件简单的事,就是不简单;做好每一件平凡的事,就是不平凡。社会分工有不同,一个人能力有大小,只要咱们脚踏实地地努力搞好本职工作,就会对国家有贡献,就会成为社会有用的人才。陈宝钦研究员,博士生导师,1942年生于福建省福州市,1966年毕业于北京大学物理系,1968-1985年任职于中国科学院半导体研究所,1986年至今于中国科学院微电子研究所。兼职中国科学院大学(国科大)教授;全国半导体设备和材料标准化技术委员会副主任、微光刻分技术委员会秘书长;全国纳米技术标准化技术委员会微纳加工技术工作组副秘书长,计量与测试技术工作组委员;中国科学院老科技工作者协会理事、微电子分会理事长、科学讲师团成员;全国大学生创新创业iCAN金牌讲师团成员;北京电子学会半导体专业委员会副主任、制版分会主任;中国科学院大型仪器设备研发监理,中国科学院重庆绿色智能技术研究院学术委员会委员。
  • 微光刻人再相聚!第十二届微光刻技术交流会在合肥成功召开
    仪器信息网讯 9月22日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第三届年会暨第十二届微光刻技术交流会在合肥成功召开。本届会议由全国半导体设备和材料标准化技术委员会微光刻分技术委员会主办,合肥芯碁微电子装备股份有限公司(以下简称“芯碁微装”)承办。会议吸引了业界两百余位资深专家及企业代表参会。 会议现场会议伊始,由合肥市政府副市长赵明,全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦,中科院标准化管理委员会专家组麻云凤,合肥芯碁微电子装备股份有限公司董事长程卓以及市人大常委会副主任、合肥高新区党工委书记、管委会主任宋道军分别致辞。合肥市政府副市长 赵明 致辞全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长 陈宝钦 致辞中科院标准化管理委员会专家组 麻云凤 致辞合肥芯碁微电子装备股份有限公司董事长 程卓 致辞市人大常委会副主任、合肥高新区党工委书记、管委会主任 宋道军 致辞致辞结束后,大会进入2022年度微光刻技术交流会环节。大会首日交流会环节邀请了12位业界专家依次分享报告,对微光刻技术、微光刻设备和材料技术的发展趋势、最新研究成果及进展等展开深入的交流与探讨。上午的报告由中科院理论物理研究所研究员冯稷主持。中科院理论物理研究所研究员 冯稷 主持报告人 合肥芯碁微电子装备股份有限公司 陈东博士报告题目 《激光直写光刻技术及应用》芯碁微装的陈东博士从激光直写技术及其在泛半导体领域的应用两方面介绍了芯碁微装的技术与应用。据介绍,激光直写技术分为激光束直写式光刻、基于2D数字空间光调制器的直写式光刻等种类,其关键技术性能指标包括产能、解析、精度和稳定性等。当前直写激光已被应用于集成电路(掩模版、晶圆、晶圆级封装、功率半导体、第三代半导体等)、平板显示(LCD、OLED等高端显示)、纳米器件(MEMS、生物芯片、3D器件等)和高端PCB等领域。报告人 北京超弦存储器研究院 师江柳博士报告题目 《应用于前沿存储器工艺的先进光刻工艺研发介绍》师江柳在报告中详细介绍了北京超弦存储器研究院的情况,DRAM对先进光刻的需求以及研究院先进光刻工作三方面内容。据介绍,DRAM存储芯片市场份额巨大,发展前景广阔,是半导体产业的核心支柱之一。中国的DRAM市场接近全球的60%,但自给能力严重不足,且核心技术受制于人,亟需关键技术的突破。当前,北京超弦存储器研究院积极搭平台、引人才、建队伍、出成果,为国产存储器产业发展提供技术来源、协调资源调配、培养专业人才、实施知识产权保护,致力于成为国内存储器技术发展的“探路人”。报告人 上海交通大学教授 周林杰报告题目 《光电子芯片封装测试技术》当前硅基光电子正朝大规模集成应用发展,同时硅光技术促进了片上光互联、量子计算、激光雷达、光子计算新应用的蓬勃发展,但光芯片的封装和测试成本却高居不下。据周林杰介绍,硅光芯片封测面临硅间接带隙材料不能发光、硅波导与光纤模式失配大使得耦合效率低、电学控制端口数多和失效检测等问题,而光电子芯片封装测试平台可提供光电子芯片封装、光电子芯片及器件测试、失效分析等服务。报告中,周林杰详细介绍了平台的电学封装、光学封装和失效分析的技术,并举了大规模光开关和激光雷达芯片两个实例。报告人 中国科学院微电子研究所研究员 齐月静报告题目 《光刻精密测量技术》光刻机是半导体产业的重要装备,其中前道光刻机的生产厂家主要有ASML、Nikon、Canon和上海微电子。光刻机的核心指标包括分辨率、套刻精度和产率,核心分系统包括对准、步进扫描曝光和成像质量控制系统。报告中,齐月静详细介绍了光刻精密测量技术中的投影物镜波像差检测、对准和套刻的关系、对准原理、对准装置等内容。以上为上午的报告内容,下午的会议交流由清华大学刘泽文教授和中科院重庆研究院王德强研究员依次主持。清华大学教授 刘泽文 主持中科院重庆研究院研究员 王德强 主持报告人 合肥芯碁微电子装备股份有限公司总经理 方林报告题目 《芯碁光刻机发展历程》芯碁微装成立于2015年,总部位于中国合肥,于2021年4月1日在科创板正式挂牌上市,成为中国首家光刻设备上市企业。据介绍,芯碁微装致力于以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产,主要产品为PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他镭射直接成像系统。目前产品已广泛应用于IC芯片、掩模版、MEMS、生物芯片、PCB、Touch Panel、FPD、LED等影像转移领域。从2015年成立至今,通过自主研发,不断技术创新,芯碁微装的设备装机量已累计超过700台。报告人 长沙韶光芯材科技有限公司副总经理 彭博报告题目 《长沙韶光芯材科技有限公司》彭博在报告中介绍了长沙韶光芯材料科技有限公司的情况。据介绍,长沙韶光芯材科技有限公司1980年全套引进德国生产线作为分厂存在;2003年成为独立法人的国有公司;2011年改制为民营企业,经营层控股/员工持股;2022年引入股权投资。报告最后,彭博这样形容韶光芯材,“老牛亦解韶光贵,不待扬鞭自奋蹄”。报告人 青岛天仁微纳科技有限责任公司北区销售总监 李心报告题目 《天仁微纳 纳米压印光刻领导者》纳米压印光刻是光刻技术的一种,可以低成本加工微纳结构,在某些领域比投影光刻更具优势。李心表示,随着技术继续发展,在某些工艺节点,纳米压印技术有可能替代投影式光刻。目前,纳米压印已应用到消费类电子产品、车载光学、AR眼镜、生物芯片、显示等领域,随着纳米压印光刻技术日趋成熟,应用领域也会越来越多。报告中,李心还介绍了天仁微纳的发展历程、产品及生产线、解决方案等内容。报告人 锐时科技(北京)有限公司副总经理 朱国报告题目 《2022 Raith公司及最新应用简介》Raith于1980年创立,是一家先进的纳米加工、电子束光刻、聚焦离子束加工、纳米工程和逆向工程应用领域的精密技术解决方案提供商,总部位于德国多特蒙德,通过在荷兰,美国和亚洲(香港和北京)的子公司以及代理商和服务网络。朱国表示,Raith是纳米制造设备的全球市场和技术领导者,在全球安装了1100余套系统,开发了用于纳米结构打印和扫描的最高精度系统,经营着专门的产品平台战略,拥有最广泛的纳米制造系统产品组合。报告人 清华大学教授 刘泽文报告题目 《智能传感器与光刻技术创新-集成氮化镓MEMS传感器》刘泽文教授表示,智能传感时代已经到来,More-than-moore的系统集成值得引起光刻界的重视,基于新材料和新型加工技术的高性能传感器具有巨大的应用前景。光刻和微纳加工技术具有巨大的创新空间,创新永远在路上。创新成就的取得,需要加强企业和研究机构之间的学科和工程交叉合作,需要政府的综合协调和支持。报告人 北京汇德信科技有限公司销售技术工程师 王涛报告题目 《PHABLE紫外/深紫外光刻机特点及应用》王涛在报告中介绍了北京汇德信科技有限公司独家代理的产品-PHABLE紫外/深紫外光刻机。据介绍,该设备是泰伯效应(Talbot)光刻机(DTL技术),采用了非接触曝光的方式,具有纳米-微米级曝光分辨率,分为手动、自动机型,且可以实现大面积纳米周期图案。其中纳米级分辨率可达<50nm(DUV)、<100nm(UV),能实现4‘‘、6’‘、8’‘大面积图案化。此外,PHABLE曝光技术可以在曲面上进行曝光,最大高低差2-3mm表面上曝光纳米图形。报告人 南京南智先进光电集成技术研究院 王前进老师报告题目 《EBL在LNOI光电芯片制备的应用及问题》光电集成是后摩尔时代的可行路径之一。当前光电技术进入集成化发展阶段,产业即将迎来爆发。王前进表示,如果电子学革命的地理中心是以衬底材料来命名,即“硅材料”,那么光子学革命的诞生地应该以“泥酸锂”命名。而南智光电专注于薄膜铌酸锂特色工艺。据介绍,南智光电集成公共技术平台一期由南京市江北新区研创园投资兴建,系南京市首个光电集成领域开放式平台。平台一期占地5000平米,建有超净实验室2000平米,各类设备6000余万元,涵盖光刻、镀膜、刻蚀、封装等各工艺环节,有工艺及研发队伍40人。报告人 中国科学技术大学工程师 周典法报告题目 《光刻工艺装备的运行保障》周典法老师在报告中介绍了装备运行中的常见问题、装备的故障诊断和修复以及装备的运行保障措施。周典法表示,设备的运行保障以预防为主,需加强日常巡检,定期对易损件耗材进行更换和备件储备,确保电力供应正常,还要培养一位自家的硬件维修工程师。合影留念9月22日的微光刻技术交流会到此结束。23日,大会将召开2022年度先进光刻技术交流会、2022年度第三届微光刻分技术委员会年会和2022-2023年两届承办方交接牌仪式,并邀请专家参观合肥芯碁微电子装备股份有限公司产业链上/下游企业。
  • 第一轮通知!2024 全国半导体设备和材料标准化技术委员会微光刻分技术委员会第五届年会暨第十四届微光刻技术交流会
    各位委员、各个单位:兹定于 2024年10月27-30日在上海举行“全国半导体设备和材料标准化技术委员会微光刻分技术委员会第五届年会暨第十四届微光刻技术交流会”会议有关事宜通知如下:一、会议信息:(一)会议议程:10月27日报到,28-29日安排会议,30日返程(二)会议地点:上海中兴和泰酒店(上海市浦东新区浦东张江高科科苑路866号)(三)指导单位:全国半导体设备和材料标准化技术委员会。(四)主办单位:全国半导体设备和材料标准化技术委员会微光刻分技术委员会。(五)承办单位:上海光源,中国科学院上海高等研究院。(六)参会人员:全国半导体设备和材料标准化技术委员会微光刻分技术委员会全体委员、代表及国内外微光刻标准化技术的嘉宾和朋友。(七)第二轮通知将于2024年10月08日发出。二、会议收费与住宿1、本届会议不收取会议费用,交通费用及食宿费用自理。住宿:上海中兴和泰酒店(上海市浦东新区浦东张江高科科苑路 866 号)。单人间:550元人民币/天/人,标准间:550元人民币/天/2人,(均含双早)。2、交通信息参考路线建议-机场:(1)上海虹桥国际机场--上海中兴和泰酒店地铁2号线直达:2号航站楼地铁入口--地铁2号线(浦东国际机场方向)--乘坐 17站-张江高科站2出口-中兴和泰酒店,【时长:1小时4分钟,总费用:6元】 (2)上海浦东国际机场--上海中兴和泰酒店地铁2号线直达:浦东国际机场站入口--地铁2号线(徐泾东方向)--乘坐 10站-张江高科站2出口-中兴和泰酒店,【时长:58分钟,总费用:5元】 路线建议-火车站:(1)虹桥火车站--上海中兴和泰酒店地铁:虹桥火车站地铁入口--地铁2号线(浦东国际机场方向)--乘坐 18 站-张江高科站2 出口-中兴和泰酒店,【时长:1小时5分钟,总费用:6元】 (2)上海火车站--上海中兴和泰酒店地铁:上海火车站地铁入口--地铁4号线内圈(宜山路方向)--乘坐 18 站-张江高科站2出口-中兴和泰酒店,【时长:1小时5分钟,总费用:6元】 (3)上海南站--上海中兴和泰酒店地铁:上海南站地铁入口--地铁1号线(富锦路方向)-人民广场站下车 换乘地铁2号线(浦东国际机场方向)--张江高科站2出口-中兴和泰酒店,【时长:1小时3分钟,总费用:5元】 三、会议联系人1、标委会秘书处会务组联系人 :郝美玲:18551384180 semiml@126.com 微信:h85786757陈宝钦:13661034296 chenbq@ime.ac.cn 微信:chenbg4207022、上海光源、中国科学院上海高等研究院会务组联系人李红红:13536512860,lihh@sari.ac.cn 龙家丽:17717636503 1ongil@sari.ac.cn 微信:wxid 9maiawdpke8122四、参会回执请在 2024年9月28日前把回执(文末附件)及申请做报告的文稿题目(欢迎发送电子版PPT 文件)发至微光刻分技术委员会行政秘书处专用电子邮箱地址:semiml@l26.com并抄送到 chenbg@ime.ac.cn 以便主办方安排会务、住宿及会议资料文集。附件 第一轮通知附件2-回执参会反馈表2024.7.28.doc
  • 技术线上论坛|12月15日《无掩膜光刻技术在生物芯片领域的最新应用》
    报告简介: 在制备新型生物芯片器件时,通常会涉及到光刻工艺。而在诸多光刻技术中,无掩膜光刻技术因其特的优势和特点,成为当前为流行高效的一种。与传统掩膜版技术相比,无掩膜曝光技术具有高分辨、高对准精度、更加简易操作等诸多优势,能够轻松实现微米、亚微米精度的光刻、套刻。配合各类标准微加工工艺,能够方便快捷地实现各类生物芯片器件的制备。在本报告中,将重点介绍无掩膜光刻技术新前沿进展,结合来自国内外以色列理工,IBM,复旦等科研单位在国际期刊发表的研究成果,探讨无掩膜光刻技术在生物芯片领域的应用。直播二维码:您可以通过扫描下方二维码预约观看此次会议,无需注册!扫描上方二维码,即可注册!报告时间:2021年12月15日 14:00(北京时间) 主讲人:喻博闻 博士喻博闻博士,毕业于澳大利亚昆士兰大学机械与矿业学院,博士期间研究方向为微纳机电器件中的界面问题,以及微纳尺度操纵和加工技术。于2021年4月加入Quantum Design中国子公司表面光谱部门,负责微纳加工相关产品在的应用开发、技术支持及市场拓展工作。技术线上论坛:https://qd-china.com/zh/n/2004111065734
  • 直播预告!第二届半导体工艺与检测技术网络会议之光刻与刻蚀技术
    仪器信息网讯 半导体产业作为现代信息技术产业的基础,已成为社会发展和国民经济的基础性、战略性和先导性产业,是现代日常生活和未来科技进步必不可少的重要组成部分。当前,全球半导体科技和产业的竞争愈演愈烈,各国围绕提升半导体领域竞争力,相继出台了一系列政策举措。半导体行业归根结底属于设备类行业,行业内素有“一代设备,一代工艺,一代产品”的说法。SEMI在SEMICON Japan 2022上发布了《2022年度总半导体设备预测报告》。报告指出,原设备制造商的半导体制造设备全球总销售额预计将在2022年创下1085亿美元的新高,连续三年创纪录,较2021创下的1025亿美元行业纪录增长5.9%。在整个制造工艺流程中,光刻和刻蚀工艺的投资最大、研发难度和技术水平最高,对应的光刻机和蚀刻机也是半导体制造中最重要的关键设备之一。针对于此,仪器信息网与电子工业出版社联合组织于5月10日-11日召开的第二届“半导体工艺与检测技术”主题网络研讨会设置了“光刻与刻蚀及其检测技术”专场,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。本次会议免费参会,报名链接:https://www.instrument.com.cn/webinar/meetings/Semicon2023/ 或扫描二维码报名光刻与刻蚀及其检测技术专场会议日程:时间报告题目演讲嘉宾专场:光刻与刻蚀及其检测技术(5月10日下午)14:00--14:30广义芯片特种紫外光刻设备研发胡松(中国科学院光电技术研究所 研究室主任/研究员)14:30--15:00海洋光学微型光谱仪在半导体领域的应用卢坤俊(海洋光学 资深技术&应用专家)15:00--15:30光刻照明系统关键技术刘俊伯(中国科学院光电技术研究所 副研究员)15:30--16:00微纳加工的干法刻蚀技术(拟)张忠山(中国科学院物理研究所 副主任工程师)嘉宾介绍:中国科学院光电技术研究所 研究室主任/研究员 胡松胡松,博士,研究员,四川省有突出贡献优秀专家,四川省学术技术带头人后备人选,博士导师,2000—2016年光电技术研究所微电子装备及生物芯片仪器研究室主任,国家专家库专家、国家02专项调研组和指南编写组专家、集成电路测试仪器与装备产业技术创新联盟专家咨询委专家,四川省重大仪器设备专家组专家,全国半导体设备和材料标准化技术委员会微光刻分会副主任,全国光电测量标委会委员,中国仪器仪表学会精密机械分会理事,中国电子工业专用设备行业协会理事。 一直从事微细光学技术与专用设备研制工作。先后承担并完成三十多项国家科技攻关、中科院重大项目、国家“863”项目、国家重大科技专项、国家重大仪器装备专项、国家自然科学基金、四川省科技攻关等。获国家科技进步三等奖两项,中科院科技进步二等奖、三等奖各一项,四川省科技进步二等奖一项、三等奖二项。近年来以副总师完成国家重大仪器装备专项项目—超分辨光刻装置研制、以项目技术首席和课题负责人完成国家02专项课题—EUV极紫外光刻真空及机械系统、以项目副总师完成国家02专项项目—NA0.75ArF曝光光学系统α样机研制。主持研发单面和双面深度曝光机、无掩模光刻机、投影光刻机、纳米压印光刻机、精密工件台、生物芯片仪器等,销售超1000台(出口50多台),为我国微细加工行业的技术进步发挥了较大作用,结束芯片设备与仪器完全依赖进口且受制于人的历史。发表科技论文150余篇,作为副主编出版专著一本,授权专利50余项,指导并毕业博士生20余名、硕士生10余名。2015年被评为四川省劳动模范,或国务院政府津贴,多次被评为中科院院、分院及研究所先进工作者、优秀导师和标兵。【摘要】广义芯片包括集成电路在内所有由光刻技术制造具体一定功能和集成度的系统,如第二代第三代化合半导体,传感器(应变,光栅,光电探测器,气体传感器等),显示器件,生物芯片,发光器件,MEMS,微光学元件,分立电子器件,通迅器件等。这些广义芯片基底种类有金属、玻璃、化合物、高分子薄膜、陶瓷等,基底尺寸变化很大,光刻工艺持殊(有的要求深曝光,有的要求3D光刻,有的要求双面套刻,有的要求边缘套刻等等)。报告重点介绍针对特种广义芯片的解决方案。海洋光学 资深技术&应用专家 卢坤俊现任海洋光学亚洲公司应用工程师主管,南京农业大学生物工程本科专业,应用化学硕士学位。主要负责光谱仪相关产品的技术支持与光谱解决方案的应用开发工作,有着10年以上的环境、智能农业、化工、消费电子、半导体及生命科学领域的光谱应用背景。【摘要】 介绍海洋光学公司及工业客户合作模式,并分享海洋光学微型光谱仪在半导体膜厚测量,CMP过程膜厚测量, PECVD过程监控,Plasma Etching终点指示以及 Plasma Cleaning过程监控中的原理及应用。中国科学院光电技术研究所 副研究员 刘俊伯刘俊伯,博士,中科院光电技术研究所微电子装备总体实验室,副研究员,硕士生导师,主要从事投影光刻装备相关核心技术研究。2017 年入选西部之光人才计划,2020 年入选中国科学院青年创新促进会,获创新基金计划资助。 承担或参与国家重大科研仪器装备项目、02专项、国家重点研发计划、国家自然科学基金、四川省应用基础研究、四川省融合类研究项目等项目,重点开展光刻机投影光刻曝光系统、综合像质原位测试、全链路逆优化仿真模型等核心技术研究,在OPT EXPRESS、OPT LASER ENG 等SCI 期刊上发表论文20余篇,获发明专利授20余项。 组织团队成功研制UVSTEP系列化投影光刻装备,在军民领域实现多台套上线应用,获首届中国光学工程学会“金燧奖”。特别在压力敏传感器领域,该型投影光刻设备打破国外光刻装备“卡脖子”现状,产生较好的社会、经济效益。中国科学院物理研究所 副主任工程师 张忠山2016于苏州大学获博士学位,2016年-2018年中国科学院声学研究所博士后,现任中国科学院物理研究所副主任工程师,负责综合极端条件实验装置微纳加工平台运行 。发表文章14篇,授权专利15项。2018年入选中国科学院物理研究所“引进国外杰出人才”计划中的“关键技术人才”。正在主持国家自然科学基金项目一项,负责科学院仪器设备功能开发技术创新项目一项;除此之外,参与多项国家重大项目。
  • 群贤毕至!第十三届微光刻技术交流会在青岛成功召开
    仪器信息网讯 8月29日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第四届微光刻分委会年会暨第十三届微光刻技术交流会在青岛成功召开。本届会议由全国半导体设备和材料标准化技术委员会微光刻分会秘书处和青岛市城阳区人民政府主办,青岛天仁微纳科技有限责任公司承办。会议吸引了业界三百余位资深专家及企业代表参会。 会议现场大会开幕式由微光刻分技术委员会主任委员冯稷主持,青岛轨道交通产业示范区工委委员、管委副主任矫鲲,青岛轨道交通产业示范区管委招商部部长刘新歧,青岛天仁微纳科技有限责任公司董事长冀然,全国半导体设备和材料标准化技术委员会微光刻分会秘书长陈宝钦分别致辞。微光刻分技术委员会主任委员 冯稷 主持开幕式青岛轨道交通产业示范区工委委员、管委副主任 矫鲲 致辞青岛轨道交通产业示范区管委招商部部长 刘新歧 致辞青岛天仁微纳科技有限责任公司董事长 冀然 致辞全国半导体设备和材料标准化技术委员会微光刻分会秘书长 陈宝钦 致辞致辞结束后,大会进入2023年度微光刻技术交流会环节。大会首日交流会环节邀请了21位业界专家依次分享报告,对微光刻技术及应用、微光刻设备和材料技术的发展趋势、最新研究成果及进展等展开深入的交流与探讨。报告人:湖南大学 陈艺勤副教授报告题目:《力学辅助光刻及其应用》面临极端加工要求,仅仅依靠常规的微光刻技术面临难加工材料、难加工结构、难加工基底等加工难题。针对于此,陈艺勤所在课题组提出力学辅助光刻技术,人为地通过结构设计或添加外场等方式放大微光刻工艺过程中结构内部或结构之间的相互作用;通过人为施加的力学手段来代替或者补充微光刻技术的某一个或某几个工艺环节。报告中,陈艺勤介绍了其所在课题组利用高分辨的力学辅助光刻技术,围绕材料、工艺、应用三个方面开展的系列工作。报告人:苏州大学 陈林森教授报告题目:《微纳光子制造:赋能创新的引擎》陈林森教授三十年来从全息光学到微纳光学迈向光子制造,先后获得3项国家科技进步二等奖;5项江苏省科技奖一等奖;6项中国专利优秀奖。21世纪是“追光”的世纪,谁率先攻克大面积微纳结构功能化难题,谁将在光子领域处于主动地位。但传统光刻技术难以解决大面积光子器件的制备难题,已有图形化技术难以加工复杂微纳结构。面向科技前沿与重大需求,需要确立“更好的解决方案”。自主可控光子技术,对新材料、新装备、新器件的可控性与安全性意义重大。针对于此,陈林森教授基于光场重构、智能计算、数字化光刻与柔性纳米压印,构成了“微纳光制造”底层关键技术,推出了一系列产业化的产品和设备。报告人:中国科学院上海高等研究院X射线光学技术实验室副主任 吴衍青研究员报告题目:《SSRF-XIL线站EUV光刻胶光刻性能检测技术进展》我国尚处于EUV光刻核心关键技术攻关阶段,国内EUV光刻胶的研发尚属于起步阶段。光刻胶的光刻性能检测是光刻胶研发的必要条件,而13.5nm在波长检测是衡量光刻胶曝光性能最准确的检测方法。曝光后可以获得光刻胶的三个主要参数:分辨率、灵敏度和边缘粗糙度。光刻胶研发过程中需多次迭代、检测,获得最佳曝光性能。吴衍青表示,同步辐射EUV干涉光刻是业界公认的检测方法。当前上海光源已为国内多所高校/研究所/企业单位提供光刻胶性能检测支持,取得了丰硕的成果。报告人:神光光学集团有限公司首席科学家 曹海平院长报告题目:《神光光学用于微光刻的玻璃材料和元件》高纯石英性能优良被称为“玻璃之王”,石英玻璃具有比其它以二氧化硅为骨架的如钠钙硅玻璃、硼硅玻璃、普通光学玻璃等混合物玻璃无法比拟的独特和优异性能,尤其透明石英玻璃的光学性能非常优异,在紫外到红外辐射的连续波长范围都有优良的透射比。曹海平在报告中对比了海内外主流厂商的工艺,并介绍了神光光学的六大特色生产工艺:国内首创立式单灯闭式沉积装置,集成原料预处理、反应合成和适应生长三大模块;超精准燃烧管控和液态物蒸发创造了恒定的流场,确保高纯度;优异的燃烧器热场匹配先进的沉积炉温场,形成最佳的合成界面和产品截面;先进的自动化控制的适应生长获得长度向一致性及轴对称性;首创通过槽沉热成型抑制横向延展后的缺陷分布和改善二次缺陷;自主研发多级精密退火工艺减少了应力影响。之后,曹海平介绍了神光光学石英玻璃的应用、产品关键指标等信息。报告人:青岛天仁微纳科技有限责任公司事业发展经理 Massimo Tormen报告题目:《Manufacturing advanced photonic devices needs reliable nanoimprinting lithography solutions》在可预见的未来,光子器件正在并将在我们的社会中大规模使用。纳米压印技术与其他技术相比具有竞争优势。Massimo Tormen 表示,与其他现有复制技术不同,纳米压印(NlL)技术结合了高分辨率、2.5D图案化能力的特点,吞吐量大,需要的投资和运行成本适中;与DUV和EUV光刻相比,因为NIL的缺陷率更高,目前电子工业不使用NIL技术,但光子学应用的缺陷容忍度略高,这使NlL有机会成为先进光子器件的首选制造技术,因为其他竞争先锋发挥着更大的作用(分辨率、吞吐量、成本、2.5D图案化能力等);NIL可以在许多光子应用中赢得与投影光刻的竞争优势。目前天仁微纳的UV-NIL技术越来越成熟。报告人:海德堡仪器公司Nano AG 杨菲博士报告题目:《NanoFrazor—A versatile Nanopatterning Tools》海德堡仪器的杨菲博士在报告中介绍了一种可应用于纳米尺度科学研究的纳米制造技术—纳米扫描热探针直写技术。据介绍,海德堡的相关产品NanoFrazor具有高分辨率纳米光刻15 nm横向分辨率,报告题目:《Raith Nanofabracation Application Updates 2023》本次微光刻年会,锐时科技带来了超高性能电子束光刻系统EBPG Plus、VOYAGER 高性能电子束曝光系统、FIB-SEM系统VELION、CHIPSCANNER 高分辨率电子束曝光机和激光光刻解决方案PICOMASTER。由于时间关系,朱国先生主要介绍了超高性能电子束光刻系统EBPG Plus。据了解,EBPG Plus是一种超高性能电子束光刻系统,100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。报告人:Genlsys公司亚太总监 陈利奇先生报告题目:《GenlSys Update 2023》陈利奇主要介绍了GenlSys的五类产品。据了解,GenlSys的电子和激光束直接写入软件是高斯光束直写系统的市场领导者,安装在全球大多数主要的纳米制造中心,已成为先进电子束光刻的必备品;蒙特卡罗模拟软件可以进行电子束光刻建模与校正中电子分布的MC模拟,可完成过程校准、PSF可视化、提取和管理;3D光刻模拟和OPC软件覆盖了接触式光刻(掩模对准器)和投影光刻(步进器/扫描仪),电子束光刻和激光直写光刻(海德堡仪器激光系统);SEM图像分析与计量是一款可用于基于SEM的计量和检验的计量软件;掩模版生产软件是用于掩模室的专用MDP,高性能(层次结构、并行处理、掩模过程校正…)等。报告人:清华大学 刘泽文教授报告题目:《光刻技术回顾与展望》刘泽文教授主要在报告中回顾了光刻技术的起源、发展与展望。刘泽文教授表示,微光刻技术不仅是人类科技文明的集大成,也是科学技术和现代企业、政府协同推进实现技术进步的典范。EUV光刻机设备本质上是一台基于物理原理的科学工具,而不是普通的机械设备,是高投入、多学科、多技术、多企业、有组织协同发展的结果。在中国这样的国家,有一家甚至两家以上的机构组织进行EUV光刻研发是很有必要的,不仅符合中国的国家利益,也符合人类利益。任何形式的垄断,总是不好的。在重视EUV光刻的同时,需要在新的方向上进行探索,保持创新力,寻找突破点。EUV微光刻技术值得微光刻技术标准化分委员会专家们关注。报告人:中国科学院微电子研究所 何萌报告题目:《集成电路产教融合实训装备与教学实践》集成电路是制造业的最高端,其多步工艺、精细加工、复杂、环境要求高、资金密度高;是精密光学、等离子体物理、磁学、精细化学、数学模型、材料科学等多种学科融合学科;也是精密机械、光学工程、电控技术、软件、温度控制技术等多种技术集成;其技术更新快,每18个月更新一代。但当前集成电路学科实验教学面临诸多难点。针对于此,夏洋等团队设计了系列课程,筹备建设了集成电路学科平台。何萌认为,产教融合需要高校和企业联合开设课程,定向培养高端专业工程性人才,形成集成电路产教融合教学联盟。报告人:中国科学院长春光学精密机械与物理研究所 魏鸿达报告题目:《大幅面微纳结构的光学表面制造技术研究》据介绍,科技部某项目需研制600*300mm*40mm位相板,以此实现以3.1米主镜为基准,两次装调实现5镜共基准。由于600mm超过设备运动范围(400mm)限制,需要开发激光直写远距离高精度拼接曝光技术。报告中,魏鸿达介绍了拼接方案,第一步完成450*300mm图案加工,经显影、刻蚀、再次涂胶后,第二次曝光完成第二部分加工;两次摆放基板会造成坐标系破坏,产生拼接误差,需重构坐标系,降低误差,大尺度多范围设定靶标;精准提取十字刻线质心,数据拟合修正,以基板靶标重建坐标系,实现在同一坐标系下两次直写。此外,魏鸿达还介绍了离子束刻蚀技术以及相关应用拓展。报告人:中国科学院光电技术研究所 胡松研究员报告题目:《面向广义芯片的光刻技术与装备》广义芯片包括集成电路在内所有由光刻技术制造具体一定功能和集成度的系统,如第二代第三代化合半导体,传感器(应变,光栅,光电探测器,气体传感器等),显示器件,生物芯片,发光器件,MEMS,微光学元件,分立电子器件,通迅器件等。随着信息时代的发展,非IC广义芯片的应用十分广泛,需求量十分巨大;针对广义芯片的光刻机需要适应大量非IC标准要求,目前尚未形成垄断,国内具有实现自主可控的能力;国内相关单位需要把握当前窗口,形成面向广义芯片光刻设备的研发与应用链条,解决相关行业自主可控问题。报告人:江苏长进微电子材料有限公司总经理 王凯先生报告题目:《新型高分辨率电子束光刻胶(用于多层结构和灰度光刻)》江苏长进微电子材料有限公司成立于2021年,专业从事半导体光刻胶产品的研发、生产和销售。公司的产品系列完整,产品应用领域涵盖集成电路 (IC)、发光器件 (LED) 、分立器件 (Transistor) 、先进封装(WLCSP,Bumping,FO-WLP,Chiplet)、微机电系统 (MEMS) 、掩膜版 (Mask) 等。报告中,王凯介绍了长进微电子的产品分类、技术路线、电子束胶在多层结构和灰度光刻中的应用等。报告人:长飞石英技术 (武汉) 有限公司销售副总监 肖畅先生报告题目:《长飞石英-微光刻用合成石英材料开发进展与应用》长飞石英基于30余年的光纤预制棒合成石英沉积、热处理等工艺的深入研发与制备经验开发出多种石英制备技术,并建立了全面的石英材料检测平台。长飞合成石英材料,为光学、半导体、光通信等多个行业领域,提供高品质石英材料产品。依托于先进的检测设备与专业的检测能力,长飞石英检测中心可对石英材料的各类光学特性与参数,进行全方位深入测试,为产品研发与交付提供质量保障。报告人:矽万 (上海)半导体科技有限公司 陈硕先生报告题目:《基于3D光刻的曲面衬底非球面微透镜阵列》变焦复眼具有体积小、视场角大、灵敏度高等优点,非常适用于高性能的光电探测器、光场相机等。得益于3D光刻技术设计自由度高、幅面大、粗糙度低以及保真度高等优点制得了人工超复眼结构。该结构实现了信息共享功能:由于光敏单元的独特结构,能够实现不同光敏单元对物体信息的共同成像;变焦功能: 人工超复眼作为由五种不同焦距子眼组成的复眼,能够感知不同焦平面上的物体;大视场角: 由于在曲面上制备了大量的光敏单元,因此人工超复眼的视场角比在平面上制备的微透镜阵列更大,测试结果显示人工超复眼可工作范围视场角约为62°;超疏水微透镜阵列:在复眼结构中增加超疏水结构,使得在高湿度环境仍具有良好的成像功能。基于信息共享功能与变焦功能,人工超复眼不仅可以在曲面上实现变焦成像,也可以在平面上实现变焦成像。相信这种具有新颖结构的微光学元件为制造具有高光学性能的小型化设备提供了新思路。报告人:苏州锐材半导体有限公司销售经理 江茜女士报告题目:《SOI晶圆和其它晶圆键合新材料》SOI技术是在顶层硅和背衬底之间引入了一层埋氧化层。被称为“二十一世纪的微电子技术”。目前全球制造SOl晶圆的技术主要有四种:注入氧分离技术 (Separation by lmplanted Oxygen,SIMOX)、键合回刻技术 (Bond and Etch-back SOl,BESOI)、智能剪切技术 (smart- Cut )和无研磨基台影响CMP技术 (GCIF: Grinding Chuck Impact Free)。江茜女士在报告中介绍了苏州锐材 SOI核心技术产品、新的晶圆键合材料、SOI主要应用等内容。报告人:深圳清力技术有限公司实验平台负责人 潘旭捷先生报告题目:《深圳超滑技术实验平台微纳米工艺介绍》结构超滑是指两个固体表面直接接触做相对滑移运动时,摩擦极低、磨损为零的状态。结构超滑的初步概念最早可追溯到上世纪八九十年代。之后,郑泉水课题组于2002年预言第一个超滑器件,荷兰Frenken院士于2004年第一次在极端条件下观测到纳米尺度的超滑现象。2012年,郑泉水课题组首次在大气环境下实现了微米尺度的结构超滑,标志着结构超滑技术的诞生。报告中,潘旭捷介绍了结构超滑技术的原理、在微纳米器件中的应用和深圳结构超滑技术实验平台。报告人:纳糯三维科技 (上海) 有限公司总经理 崔万银博士报告题目:《双光子灰度光刻技术在微光学器件中的应用》Nanoscribe的双光子灰度光刻激光直写技术(2GL ®)可用于工业领域2.5D微纳米结构原型母版制作。2GL通过创新的设计重新定义了典型复杂结构微纳光学元件的微纳加工制造。该技术结合了灰度光刻的出色性能,以及双光子聚合的亚微米级分辨率和灵活性。报告中,崔万银介绍了相关技术在硅片上3D加工、光纤端面加工和硅光芯片上的3D加工的应用。报告人:苏州美图半导体技术有限公司总经理 王云翔先生报告题目:《美图&研材工艺介绍》王云翔是美图半导体和研材微纳的创始人。报告中,王云翔介绍了美图&研材的业务架构,键合机、喷胶机、光刻机等产品及其在纳米森林、深结构刻蚀、金属微结构、薄膜器件、生物芯片、量产芯片等方面的应用。报告人:福建省水电科学研究院 刘辉文报告题目:《电位限制式电子束投影光刻技术的新进展》刘辉文在去年报告成果的基础上进行了进一步的研究,电位限制式电子束投影光刻技术进行了分辨率为20nm图形的仿真曝光,并在新型掩模下方0.8um处汇聚形成图形,图形中心线条粒子分布呈类高斯分布。通过增加带电层与原来静电场共同形成柱状透镜,使穿过掩蔽层图形缝隙的电子束汇聚。解决了电子散射问题,使电子能够在远离掩蔽层的位置曝光,解决了采用电位限制式电子束投影光刻技术实用化的问题。电位限制式电子束投影光刻技术能够解决原有电子束投影光刻技术的问题,结合其他技术能够解决电子束投影光刻技术实用化问题。通过本次电磁仿真和计算,从理论上验证了新型电位限制式电子束投影光刻技术的可行性和实用性,为以后实物验证做了前期准备,朝着制造高分辨率的电子束投影光刻系统又前进了一步。
  • 长春光机所承担的国家科技重大专项项目“极紫外光刻关键技术研究”通过验收
    p   6月21日,“极大规模集成电路制造装备及成套工艺”国家科技重大专项(02专项)实施管理办公室组织专家在中国科学院长春光学精密机械与物理研究所召开了“极紫外光刻关键技术研究”项目验收会。评审专家组充分肯定了项目取得的一系列成果,一致同意项目通过验收,认为该项目的顺利实施将我国极紫外光刻技术研发向前推进了重要一步。 /p p   极紫外(Extreme Ultraviolet,EUV)光刻是一种采用波长13.5nm极紫外光为工作波长的投影光刻技术,是传统光刻技术向更短波长的合理延伸。作为下一代光刻技术,被行业赋予拯救摩尔定律的使命。极紫外光刻光学技术代表了当前应用光学发展最高水平,作为前瞻性EUV光刻关键技术研究,项目指标要求高,技术难度大、瓶颈多,创新性高,同时国外技术封锁严重。 /p p   长春光机所自上世纪九十年代起专注于EUV/X射线成像技术研究,着重开展了EUV光源、超光滑抛光技术、EUV多层膜及相关EUV成像技术研究,形成了极紫外光学的应用技术基础。2002年,研制国内第一套EUV光刻原理装置,实现了EUV光刻的原理性贯通。2008年国家“极大规模集成电路制造装备及成套工艺”科技重大专项将EUV光刻技术列为“32-22nm装备技术前瞻性研究”重要攻关任务。长春光机所作为牵头单位承担起了“极紫外光刻关键技术研究”项目研究工作,成员包括中科院光电技术研究所、中科院上海光学精密机械研究所、中科院微电子研究所、北京理工大学、哈尔滨工业大学、华中科技大学。 /p p   项目研究团队历经八年的潜心钻研,突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。建立了较为完善的曝光光学系统关键技术研发平台,圆满完成国家重大专项部署的研究内容与任务目标,实现EUV 光学成像技术跨越,显著提升了我国极紫外光刻核心光学技术水平。同时,项目的实施形成了一支稳定的研究团队,为我国能够在下一代光刻技术领域实现可持续发展奠定坚实的技术与人才基础。 /p p   验收会上,长春光机所所长贾平诚挚地感谢了与会专家及各合作单位对项目的大力支持。贾平指出从时机及技术难度方面考虑,EUV项目的布局正处于窗口期,希望国家给予持续稳定的支持。鼓励项目参研单位进一步发挥EUV学科优势,鼓足勇气并肩奋斗,在后续支持下取得更好的成果。 /p p   02专项总体组技术总师、中科院微电子所所长叶甜春做总结发言。叶甜春强调,在国际上EUV光刻大生产基地已经建立的形势下,我国EUV光刻研究要继续坚持下去,面向未来产业工程化需求,着力点要放在必须掌握的核心技术和有可能取得创新的突破点。此外,叶甜春评价光刻机队伍是承担最核心、最高端、最艰巨任务的队伍,也是专项团队中最有战斗力、最能抗压、最值得信任的主力部队。鼓励项目团队肩负重大任务的责任与使命感,继续坚持勇攀高峰。 /p p   02专项光刻机工程指挥部总指挥、前科技部副部长曹健林到会并致辞。作为国内最熟悉EUV光刻的领域专家,曹健林对我国EUV光刻技术能力的提升感到欣喜,他认为中国已初步具备光刻技术的研发能力,并向着产业化目标前进,30年前的“中国光刻梦”正在逐步变为现实,通过我国光刻技术研发能力的建设初步树立了坚持“中国光刻梦”的信心。 /p
  • IWAPS 2023|​第七届国际先进光刻技术研讨会参会通知
    第七届国际先进光刻技术研讨会(IWAPS 2023)近年来,集成电路产业已经成为世界各国越来越重视的战略性产业,尤其在中国,集成电路产业受到了前所未有的关注,并在政策和资本的推动下获得了蓬勃发展。基于这样的形势,国际先进光刻技术研讨会(International Workshop on Advanced Patterning Solutions, IWAPS)应运而生。IWAPS为来自国内外半导体工业界、学术界的资深技术专家和优秀研究人员等提供了一个技术交流平台,参会者可以就材料、设备、工艺、测量、计算光刻和设计优化等主题分享各自的研究成果,探讨图形化解决方案,研讨即将面临的技术挑战。自2017年以来,IWAPS已经在全国各地成功举办六届。会议投稿、会议报告与参会人数逐年增加,行业反响热烈,业已成为国内高端光刻技术领域的重要会议。此外,IWAPS自2020年起已被IEEE收录,接收论文将被送检IEEE Xplore与EI。欢迎大家踊跃报名!第七届IWAPS将于2023年10月25-26日于浙江丽水温德姆酒店举行(浙江省丽水市莲都区丽青路129号),请于2023年10月24日报到。欢迎各位学术和产业届的朋友莅临指导,积极报名, 参会名额有限,有意者请及时报名参会。扫码进入邀请函报名:或进入会议官网报名:https://www.iwaps.org/cn/index/10 会议组委会:主办单位:中国集成电路创新联盟中国光学学会承办单位:中国科学院微电子研究所丽水经济技术开发区管理委员会协办单位:浙江富浙资本管理有限公司南京诚芯集成电路技术研究院丽水龙江产业平台运营有限公司IWAPS 2023 报告一览往年会议及论文集https://ieeexplore.ieee.org/xpl/conhome/9670881/proceedingIWAPS 2023 会议筹备工作已经展开,更多信息,敬请关注会议官网:www.iwaps.org/cn,以及“光刻人的世界”微信公众号丽水特色半导体“万亩千亿”新产业平台丽水经济技术开发区1993年设立,2014年升级为国家级经济技术开发区,是丽水浙西南中心城市“一脉三城”中的“智创新城”,是丽水市域发展的增长极、跨越式高质量发展的排头兵、产城融合发展的新高地、对外开放发展的桥头堡、改革创新发展的先行地。2019年,丽水市委市政府以超常规的举措推进平台“二次创业”,完成新一轮整合提升,总面积由原来的110平方公里扩大到217.24平方公里,改革后在商务部发布的国家级经开区综合考评排名中,从158位跃升至92位,历史性跨越前进了66位。近年来,丽水经开区步入跨越式高质量发展的新阶段,已培育形成半导体全链条、精密制造、健康医药、时尚产业、数字经济等主导产业集群,丽水特色半导体产业平台成功入选省第四批“万亩千亿”新产业培育平台,落地全市首个百亿级重大产业项目丽水东旭高端光电半导体材料项目。先后获评中国经济营商环境十大创新示范区、省级“链长制”优秀单位和特色示范单位、省级产教融合示范区、省级绿色低碳园区、省级美丽园区、省级化工园区、省级节水标杆园区、全省“长三角一体化”发展先进集体等。经开区入选省级减污降碳协同试点、省级先进制造业和现代服务业融合发展试点等改革试点。经开区科技孵化园是全市首批国家级科技企业孵化器,杭州电子科技大学丽水研究院成为全市首家实体化研究院,同创特材超高纯钽项目实现全市“尖兵”项目破零,丽水方德智驱研究院是全市首家省级新型研发机构。省级及以上研发机构总数在全国218家国家级经开区中排名第20位。2022年,丽水经开区坚持以习近平新时代中国特色社会主义思想为指导,坚定厉行“丽水之干”,大力推进平台“二次创业”,奋力实施“两强两平台”战略,沉着有力应对疫情影响和风险挑战,以前所未有的力度和超常规的举措推进事业发展,各项工作取得新成绩。全年实现地区生产总值增长8.5%,连续9个季度全市第一;固定资产投资和工业投资分别增长28%和51.2%;规上工业总产值和规上工业增加值分别增长16.9%和13.4%;财政总收入和一般公共预算收入(剔除留抵退税因素)分别增长10.08%和19.9%;企业政策兑现增长15.3%;外贸出口额增长32.8%;R&D经费投入强度高达6.06%。半导体全链条产业组链成群,出台半导体(集成电路)产业高质量发展政策,新培育超亿元企业4家,晶睿电子、珏芯微电子等投产企业全面发力,东旭光电、中欣晶圆等开工项目提前投产,广芯微电子、旺荣半导体、江苏联芯等新建项目加快建设,引进褚君浩院士工作站,先后与复旦、浙工大、杭电联合建立研究院,成立全国首家半导体工匠学院,产业链生态更加完善。精密制造产业逆势发展,滚动功能部件产业链成为全省8家“链长制优秀示范”之一,产值增长15%,首次主办汉诺威“投资中国展”和中德智能制造论坛,成立滚动功能部件产业学院,大力支持方正电机、中广电器、嘉利工业等龙头企业“二次创业”。健康医药产业乘势而上,抢抓新一轮医药产业发展新机遇,产值增长38.7%,华润三九众益实验室获得国家认证,维康药业获批国家知识产权示范企业,纳斯达克上市企业天境生物全国销售总部在经开区建成投用。时尚产业华丽转型,获评省级“链长制示范试点”,产值增长15%,产业创新服务综合体投入使用,检测中心获得国家资质认定,举办首届全国时尚合成革创新创意设计大赛,开设全市首家产业创新人才班。数字经济蓬勃发展,丽水水街基金产业园累计落地类金融项目298个,基金总规模达394亿元,实现入库税收超11亿元。金赋水公司入选省级工业互联网平台,东岸科技获评博鳌企业论坛“2022年度金融科技行业最具投资价值企业”。建筑业方兴未艾,出台建筑业发展专项政策,新增建筑业企业11家,累计达到66家,其中总承包一级10家、二级16家、三级22家,建筑业产值增长37%。下一步,丽水经开区将坚持以习近平新时代中国特色社会主义思想为指导,认真贯彻党的二十大精神以及中央、省委、市委经济工作会议精神,坚持稳中求进工作总基调,全力推进实体经济得到新提升、创新驱动获得新突破、治理效能达到新水平、产城融合迈上新台阶、改革开放实现新跨越、共同富裕取得新进展,确保经济社会继续保持跨越式高质量发展,创成“万亩千亿”新产业平台、争创高能级战略平台,努力在新的赶考之路上作出更大贡献。
  • 应对先进封装挑战,芯碁微装直写光刻技术助力本土创新突破
    人工智能 (AI) 和高性能计算 (HPC) 等应用推动了大算力芯片的需求激增,而随着摩尔定律趋近极限,先进封装正逐渐成为提升芯片性能的关键。当前2.5D、3D-IC、异构集成、Chiplet等诸多先进封装技术帮助芯片设计人员在尺寸更小、功耗更低的芯片中提供更多功能,实现性能的飞跃。然而,这些技术进步也带来了前所未有的挑战,它们对现有的制造工艺、设备和材料提出了更高的要求。越来越多的先进封装涉及处于晶圆制造(“前道”)和芯片封测(“后道”)之间被称为“中道”的工艺,包括重布线(RDL)、凸块制作(Bumping)及硅通孔(TSV)等工艺技术,涉及与晶圆制造相似的光刻、显影、刻蚀、剥离等工序步骤。其中,光刻技术起到了至关重要的作用,光刻设备已广泛应用于先进封装领域的倒装芯片结构封装的Bumping、RDL、2.5D/3D封装的TSV等的制作之中。如今,在板级封装及高端IC载板(Substrate)制造领域,直写光刻已经全面取代了传统光刻;在高端显示、先进封装以及第三代半导体等领域,直写光刻也开始崭露头角。在先进封装大潮之下,国内直写光刻技术龙头芯碁微装正以其卓越的性能和创新的技术解决方案,为行业带来突破性的变革。先进封装来袭,直写光刻崭露头角以去年以来备受关注的台积电CoWoS为例,它是一种2.5D封装技术,由CoW和oS组合而来。先将芯片通过Chip on Wafer(CoW)的封装制程连接至硅晶圆,再把CoW芯片与基板(Substrate)连接,整合成CoWoS。该技术的核心是将不同的芯片堆叠在同一片硅中介层,以实现多颗芯片互联。在硅中介层中,台积电使用微凸块(μBmps)、硅通孔(TSV)等技术,代替传统引线键合,用于裸片间连接,大大提高了互联密度和数据传输带宽。根据采用的中介层不同,台积电把CoWoS封装技术分为3种类型:CoWoS-S(Silicon Interposer)、CoWoS-R(RDL Interposer)和CoWoS-L(Local Silicon Interconnect and RDL Interposer)。 例如CoWoS被用于生产Nvidia、AMD、Amazon和Google等公司的高性能AI芯片,随着AI芯片的晶体管数量不断增加,且因为是用于数据中心和云计算,对尺寸要求不高,因此,未来的AI芯片很可能会越来越大。目前台积电正在通过CoWoS封装技术,开发比AMD的Instinct MI300X和英伟达B200面积更大的AI芯片,封装面积已经达到120mmx120mm。芯碁微装泛半导体销售总监潘昌隆指出,当前台积电主要使用的是CoWoS-S,随着大面积芯片设计越来越多,中介层越来越多,掩模尺寸越来越大,当中介层达到台积电最大reticle的四倍以上(1X reticle≈830mm² ),高于其当前中介层的3.3倍,就将转向CoWoS-L。数据显示,理论上EUV reticle限制为858mm² (26 mm x 33 mm),因此通过拼接六个掩模将实现5148 mm² 的SiP。如此大的中介层不仅可以为多个大型计算小芯片提供空间,还可以为12堆栈HBM内存留出足够的空间,这意味着12288位内存接口带宽高达9.8 TB/秒。而构建5148 mm² SiP是一项极其艰巨的任务,目前Nvidia H100加速器,其封装跨越一个中介层多个掩模大小,成本已经高达30000 美元。因此,更大、更强大的芯片可能会进一步推高封装成本。除了CoWoS-L,一些芯片设计公司也开始研究晶圆级系统(System on Wafer,SoW),这类设计将整个晶圆作为一个封装单元,逻辑、存储与控制相关的芯片都需要通过封装来集成,RDL的布线将会相当复杂,且RDL层数将会越来越高。对于这两大先进封装技术走向,潘昌隆表示,更大面积的芯片封装将对传统步进式光刻机的使用带来诸多挑战。一是掩模(mask)拼接问题。随着封装面积的增加,单一片掩模无法覆盖整个芯片,需要使用多个掩模并进行拼接。这增加了制造过程中的复杂性,可能导致拼接处的对准误差,影响最终产品的性能和良率。而且封装面积的增大可能会增加生产过程中的翘曲和缺陷,导致良率下降。特别是在掩模拼接区域,任何微小的误差都可能影响整个芯片的性能。而随着芯片集成化和大尺寸晶圆的使用,晶圆翘曲问题也愈发严峻,已成为影响先进封装可靠性的主要挑战之一。二是设计复杂度提高,生产效率下降。大尺寸封装设计需要更复杂的布线和层叠技术,如RDL层的布线将会相当复杂,且层数将会越来越多,这对设计工作和制造工艺都带来了极高的挑战。尤其大尺寸封装设计需要在光刻机中切换掩模来进行同层线路的曝光,这种频繁的掩模切换会降低生产的效率,拉长生产周期。三是设备局限性。传统的步进式投影光刻设备掩模尺寸大多是26×33mm² ,可能没有经验应对大尺寸封装的翘曲等问题。大尺寸封装的光刻需要设备具备处理更大尺寸晶圆/载板和应对翘曲等问题的能力。潘昌隆表示,除了CoWoS和SoW等晶圆级封装,FoPLP封装技术也开始逐渐发力,步进式光刻机在应对这类大面积封装同样力不从心,而直写光刻技术将会是最佳选择。在泛半导体领域,根据是否使用掩模版,光刻技术主要分为掩模光刻与直写光刻。掩模光刻可进一步分为接近/接触式光刻以及投影式光刻。直写光刻也称无掩模光刻,是指计算机控制的高精度光束聚焦投影至涂覆有感光材料的基材表面上,无需掩模直接进行扫描曝光。过去很长一段时间,掩模光刻技术是光刻工艺路线中的最佳选择;但随着成本日益高涨,未来,无掩模直写光刻技术或将凭借成本优势及行业布局逐渐受到行业关注。尤其在先进封装领域,直写光刻技术以其独特的优势和广泛的市场潜力,正逐渐成为推动行业创新的关键力量。直写光刻如何改写先进封装市场格局芯碁微装作为国内直写光刻设备的细分龙头,随着国内中高端PCB与 IC载板需求的增长及国产化率需求提升,正不断加快在载板、先进封装、新型显示、掩模版制版、功率分立器件、光伏电镀铜等方面的布局。潘昌隆表示,在先进封装领域,芯碁微装直写光刻设备中除了无掩模带来的成本及操作便捷等优势,在RDL、互联、智能纠偏、适用大面积芯片封装等方面都很有优势,设备在客户端进展顺利,并已经获得大陆头部先进封装客户的连续重复订单。潘昌隆总结了直写光刻技术应用于先进封装的几大优势。首先,掩模的制作往往耗时且成本高昂,直写光刻技术不使用传统步进式光刻所需的掩模,通过数字化的方式直接在硅片上进行图案曝光,大大缩短了产品从设计到市场的时间,并显著降低制造成本。并且直写光刻技术能够适应复杂的RDL设计和多层封装结构,这在传统的步进式光刻中可能难以实现,客户可以更灵活地调整和优化设计,适应不同需求,特别是在研发或样品开发阶段。其次,直写光刻技术减少了掩模交换和拼接的需求,简化了生产流程,从而提高了生产效率。尤其随着封装面积的增大,如CoWoS-L和FoPLP等技术的发展,直写光刻技术能够有效应对大尺寸封装的挑战。它能够处理超出传统掩模尺寸的大面积封装设计,避免了掩模拼接问题,提高了生产效率。同时直接光刻自由多分割和智能涨缩模式应对板级封装中大尺寸多增层曲翘变形有着极佳的品质。最后,对于当前追求国产化和减少对外部依赖的市场需求,大陆在先进制程受限的情况下,正在加大力度发展类CoWoS、Chiplet等先进封装以弥补性能差距,在此背景下,直写光刻技术提供了一种自主可控的解决方案,有助于降低供应链风险,增强国内产业的竞争力。“随着高性能大算力芯片要求不断提高,先进封装技术如CoWoS-L和FoPLP的需求将持续增长。随着大尺寸的RDL与SOW等未来产品的出现,直写光刻技术凭借其在大尺寸封装领域及成本方面的优势,将迎来广阔的市场空间。”潘昌隆表示,目前芯碁微装设备已实现低至2um的线宽距,涉及工艺包括垂直布线TSV、水平布线Bumping的RDL环节等,以灵活的数字掩模和高良品率满足了先进封装客户的要求,目前已有多台设备交付客户端,产品的稳定性和功能已经得到验证。值得注意的是,除了光刻制程,在晶圆切割、智能纠偏领域,直写光刻也展现出显著的技术优势。潘昌隆指出,在芯片制造过程中,需要采用切割工艺对晶圆进行划片,然而传统的金刚石切割、砂轮切割或激光切割会对晶圆造成较为严重的损伤,导致晶圆应力、碎裂、芯片性能下降等问题。目前在先进封装领域,高端的客户开始采用深硅刻蚀(DRIE)工艺的等离子切割来取代传统切割方法。不过DRIE需要一道曝光制程,但是此道曝光工艺不复杂,直写光刻技术能够直接在硅片或其他基底材料上绘制出精确的切割道,这些图案可以是简单的直线、曲线或其他复杂几何形状,并且能够实现更平滑和更精确的切割边缘,减少刀切或激光切割等传统切割方法可能引入的应力和损伤。此外,由于直写光刻使用的是数字光束和虚拟掩模,它不需要为每个不同的切割图案制作和更换物理掩模,这大大节省了成本和时间。另一个CoWoS典型场景是AI芯片中集成的多个HBM,需要将多个DRAM芯片进行堆叠,形成大容量的存储单元。直写光刻技术在此过程中可以用于精确地绘制切割道,以便进行芯片的切割和堆叠。相比传统的切割方式,不仅提高了切割的精度,还有助于实现更紧密的芯片堆叠,从而提升存储密度和性能。此外,直写光刻技术还可以确保切割后的芯片表面平整度高,这对于后续的混合键合(hybrid bonding)等工艺至关重要。“直写光刻技术在这两种切割场景中的应用,不仅可以提高切割的精度和质量,还可以减少生产成本和时间,提高整体的生产效率。”潘昌隆强调,“通过直写光刻技术,可以实现更灵活的设计调整和更快速的产品迭代,满足市场对高性能、高密度芯片的需求。”除此之外,直写光刻技术也越来越多地用于智能纠偏。潘昌隆解释,由于目前在先进封装的晶圆重构封装中存在三大技术难点,第一是芯粒偏移(Die Shift),这是指在芯片转移过程出现了偏位、涨缩等情况从而导致实际的芯粒位置和预设位置产生了偏差,进而需要纠偏;第二是翘曲(Warpage),这是由EMC材料和硅片的热膨胀系数不匹配而产生的形变,会导致曝光不良;第三是残胶(Residue)。对于芯粒的偏移问题,直写光刻技术可以通过更改布线或PI层或凸点纠偏的图形矫正以保证RDL层图形的精度。此外,在FoWLP的贴片工艺中,基于直写光刻的PI纠偏方案可以很好地缩小贴片机的贴片误差。因此,在晶粒偏移、衬底翘曲、基片变形等领域,直写光刻技术的自适应调整能力,使之具有良率高、一致性好的优点。由于直写光刻相较于步进式光刻的优势主要体现在无需物理掩模就可实现实时图案调整、提升生产效率与良率等方面,因而能够适应多层和大尺寸封装的复杂纠偏需求。其灵活性和高精度纠偏能力,简化了生产流程,降低了成本,并支持了先进封装技术的快速发展,满足市场对高性能、高密度芯片的需求。机遇与挑战共存,直写光刻生态链正在重塑根据Yole和集微咨询的预估,2022-2026年全球先进封装市场规模将从379亿美元增长至482亿美元,CAGR达到6.2%。未来先进封装技术在整个封装市场的占比正在逐步提升,3D封装、扇型封装(FOWLP/PLP)、微间距焊线技术,以及系统级封装(SiP)等技术的发展成为延续摩尔定律的重要途径。同时,Yole也预测,在IC先进封装领域内,激光直写光刻设备将在未来三年内逐步成熟并占据一定市场份额,具有良好的市场应用前景。诚然,直写光刻技术在先进封装领域开始崭露头角,但目前距离大规模量产使用仍需要克服一系列技术和市场方面的挑战。潘昌隆指出,首先,随着先进封装技术的发展,对光刻精度的要求越来越高。直写光刻技术需要进一步提升其解析度,以满足更小线宽和更高密度的封装需求。其次,直写光刻在良率和产速(UPH)等方面尚不能完全与步进式光刻媲美,而良率的瓶颈主要在于市场上仍然没有专门为直写光刻开发的光刻胶以及配套的光源。传统的光刻胶和介质层材料是为步进式光刻机设计的,直写光刻技术需要与这些材料更好地匹配,以确保光刻质量和效率。最后是许多封装客户对直写光刻技术仍然缺乏了解,需要更多的市场教育和技术普及来提高客户的认知度和接受度,并且如何在市场竞争中突出芯碁微装的独特优势并赢得客户信任也是一大挑战。随着国内半导体产业在先进制程领域发展受限,对先进封装的需求与日俱增,目前大陆在类CoWoS等2.5D、3D封装领域的研发正在加速挺进。芯碁微装在推动先进封装领域的国产化方面,制订并采取了一系列切实有效的计划和措施。“本土化研发是芯碁微装的核心战略之一。公司建立了强大的本土研发团队,专注于技术创新和产品开发,确保技术能够及时响应国内客户的需求。通过本土化研发,芯碁微装能够快速适应市场变化,推动技术进步。”潘昌隆表示,“在提升直写光刻良率、生产效率等方面,芯碁微装也与国内上下游产业链建立了密切的合作。例如在配套的光刻胶上,芯碁微装正与日系、大陆的i线、KrF光刻胶厂商密切合作,进行生产验证、配方调整等工作,提升量产可行性。与此同时,芯碁微装还与国内封装厂、设计公司和晶圆厂等建立了紧密的合作关系,了解客户需求和使用反馈,为他们提供定制化的解决方案。”值得一提的是,芯碁微装致力于提高零部件的国产化比例,目前90%以上的零部件已经实现国产化。这不仅减少了对进口零部件的依赖,增强了供应链的稳定性,还降低了生产成本,提高了产品的市场竞争力。随着技术的不断成熟和市场的逐步认可,整个生态链将被重塑,在生态链的各个环节,从材料供应商到设备制造商,再到最终的封装企业,都开始积极适应这一变革,探索与直写光刻技术相适应的新产品、新工艺和新解决方案。这种跨行业、跨领域的合作,将进一步加速直写光刻技术的创新和应用。相信直写光刻不仅将在先进封装领域扮演越来越重要的角色,而且将成为重塑国内半导体产业链结构和提升产业竞争力的重要推手。
  • 2024相约上海!第十三届微光刻技术交流会在青岛落幕
    仪器信息网讯 8月30日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第四届年会暨第十三届微光刻技术交流会在青岛顺利落幕。本届会议由全国半导体设备和材料标准化技术委员会微光刻分会秘书处和青岛市城阳区人民政府主办,青岛天仁微纳科技有限责任公司承办。继大会8月29日进行开幕式及半导体设备和材料及先进光刻技术交流会后,30日大会召开了2023年度的微光刻标准化分技术委员会年会和2023-2024两届承办方接牌仪式,会议由上海交通大学程秀兰研究员和全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦分别主持。上海交通大学程秀兰研究员 主持汇报人:青岛天仁微纳科技有限责任公司 董征汇报内容:《纳米压印光刻技术产业现状及标准化探讨》纳米压印光刻技术NIL(Nanoinprint Lithography)类似于古代印章,是一种图形转移和复制技术。青岛天仁微纳科技有限责任公司“纳米压印国家标准”的项目负责人董征向与会专家介绍了纳米压印光刻技术产业现状、纳米压印光刻行业标准化组织、纳米压印光刻技术标准体系初探及天仁微纳公司等情况。天仁微纳依据综合标准化要求,结合国内外纳米压印光刻技术产业现状梳理已有的技术领域和相关发展方向,初步搭建纳米压印光刻技术标准体系框架,内容涵盖了基础共性标准、压印模具标准压印材料标准、压印设备标准、压印工艺标准、测量检验与试验方法标准和行业应用标准等7个方面。与会专家就标准化工作热烈讨论微光刻分技术委员会秘书长陈宝钦 主持交流汇报结束后,与会专家就纳米压印标准的筹建工作等情况进行了深入探讨和交流,并就标准化提出建议。交流结束后,董征向与会专家介绍了纳米压印国家标准制定工作组筹建情况,关于“纳米压印”国家标准申请立项书草案以及“纳米压印国家标准”初稿草案。纳米压印国家标准制定工作组在会议上也正式向广大从事纳米压印相关工作的专家学者发出邀请,诚邀加入并参与到标准化工作中。青岛天仁微纳科技有限责任公司董事长冀然 致感谢词2023-2024年两届承办方交接牌仪式吴衍青研究员 致欢迎词大会最后进行了2023-2024年两届承办方交接牌仪式。由分会秘书长陈宝钦主持,第十三届承办单位青岛天仁微纳科技有限责任公司董事长冀然将会牌交接到第十四届承办单位上海高等研究院X射线光学技术实验室副主任吴衍青研究员手中。2024年,微光刻人将相约上海。参会人员全体合影大会同期,仪器信息网作为支持媒体,现场对参会专家和企业代表进行了系列视频采访,相关采访内容欢迎关注仪器信息网后续报道。
  • 2023相约青岛!第十二届微光刻技术交流会在合肥落幕
    仪器信息网讯 9月23日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第三届年会暨第十二届微光刻技术交流会在合肥顺利落幕。本届会议由全国半导体设备和材料标准化技术委员会微光刻分技术委员会主办,合肥芯碁微电子装备股份有限公司(以下简称“芯碁微装”)承办。继大会9月22日进行开幕及首日日程后,23日大会召开了2022年度先进光刻技术交流会、2022年度第三届微光刻分技术委员会年会和2022-2023两届承办方揭牌仪式,会议由中科院重庆研究院王德强研究员和全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦分别主持。报告人 中国科学技术大学副研究员 徐光伟报告题目 《氧化镓半导体功率电子器件》功率器件广泛应用于电能传输变换的各个环节,因而在各大电脑相关领域应用广泛。功率器件是“弱点控制”和“强电运行”间的桥梁,高性能功率器有助于降低电能传输变换过程中损耗。随着近年来新能源汽车智能化升级需求的爆发,功率半导体市场增速飞快。当前氧化镓材料及器件的研究,呈现出显著的加速发展态势,是日本、美国、欧洲的研究热点和竞争重点。徐光伟在报告中从氧化镓功率器件、模型和电路等方面介绍了课题组在氧化镓功率电子器件的研究内容与进展。报告人 中科院重庆研究院王德强研究员 王德强报告题目 《Cross Disjoint Mortise Confined Solid-State Nanopores for Single-molecule Detection》&《基因改造蚕丝光刻胶》固态纳米孔在单分子检测应用中引起了广泛关注。然而,获得具有高灵敏度和鲁棒性的可控纳米孔需要在纳米孔制造方面取得革命性突破。作为一种方便、低成本的纳米孔制备方法,可控介质击穿技术很难控制纳米孔的位置和数量。这项工作提出了一种使用聚焦镓离子束和受控介电击穿技术制造的交叉分离榫眼约束固态纳米孔(CDM-Nanopore)的概念。由两个不相交的榫眼结构形成的受限域通过受控的介电击穿方法定位了纳米孔制造的位置。随后,王德强研究员又介绍了西南大学家蚕基因组生物学国家重点实验室和中国科学院重庆绿色智能技术研究院联合研发的基因改造蚕丝光刻胶。王德强表示,蚕丝蛋白属于天然蛋白,其力学性能好,生物相容性好,易于改性;结构独特使得其制备简便,分辨率良好,产业成熟,因而原料丰富,成本低廉,是绿色光刻胶的理想原料。下一步,王德强所在团队将瞄准中端需求,实现进口替代;之后,面向高端需求,突破自主可控。报告人 福建省科学研究院 刘辉文报告题目 《电位限制式电子束投影光刻技术原理》最初的电子束投影光刻使用常规掩模,采用透射加吸收高压电子束的原理。部分高压电子撞击到掩蔽层而后吸收,穿过掩模掩蔽层图形时在金属图形侧壁会产生散射并影响分辨率。另外,提高加速电压会造成掩模严重热形变,而减小束流又无法满足生产效率。而电位限制式电子束投影光刻技术采用反射加透射的原理选择性地通过电子,高压电子无法穿过掩模板掩蔽层,穿过掩膜版图案的电子将图案印到涂抹了光刻胶的晶体上。报告中,刘辉文介绍了对电位限制式电子束投影光刻技术进行的不同图形的仿真曝光,得到了分辨率为20nm的图形。从理论上验证了高压电子束能够穿过掩模图形缝隙,并在新型掩模下方形成图形,图形线条连续清晰。电位限制式电子束投影光刻技术能够解决原有电子束投影光刻技术的问题,结合其他技术有望解决电子束投影光刻技术实用化问题。通过本次电磁仿真和计算,从理论上验证了新型电子束投影光刻技术的可行性,为以后实物验证做了前期准备,并有望下一步制作高分辨率的电子束投影光刻系统。报告人 中国科学院微电子研究所 杨尚报告题目 《激光直写仿真及其邻近效应校正》激光直写是利用激光束对基片表面的抗蚀材料实施曝光,显影后在抗蚀层表面形成所要求的浮雕轮廓。在半导体领域中,激光直写由于其灵活,价格低廉,无需掩模版的优势,常被用于掩模版的刻写和其它例如3D打印等较为灵活的领域。激光直写系统的基本工作原理是由计算机控制高精度激光束扫描,光刻胶上直接曝光写出所设计的任意图形。报告中,杨尚展示了model-based OPC,利用模型匹配工艺条件,从而对版图进行修正。杨尚表示,本次报告只是一个思路的呈现,待其开发成熟,会有更加广阔的应用空间。基于模型的激光直写OPC只适用于图案局部,有着精度高,理论依据充足,自适应性良好的优点。若应用于全图的OPC,则需要加快运行速度也可以采用基于模型优化后的规则,进行rule-based OPC,来完美匹配现有工艺和设备条件等因素,以实现更为精准的OPC修正。2022年度先进光刻技术交流会到此结束,大会进入2022年度第三届微光刻分技术委员会年会环节。《抗蚀剂标准修订工作组》副组长李伟 汇报全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦 汇报《抗蚀剂标准修订工作组》副组长李伟汇报了有关三项原抗蚀剂标准标准申请修订立项情况。分会秘书长陈宝钦对有关《微电子学微光刻技术术语》国家标准报批修改终稿情况进行了汇报。 大会最后进行了2022-2023年两届承办方交接牌仪式。由分会秘书长陈宝钦主持,第十二届承办单位合肥芯碁微电子装备有限公司方林总经理将会牌交接到第十三届承办单位青岛天仁微纳科技有限公司特派代表李心。2023年,微光刻人将相约青岛。 大会结束后,合肥芯碁组织并邀请专家团队参观了“中国声谷”和合肥芯碁微电子装备股份有限公司。免费直播会议推荐仪器信息网联合电子工业出版社特主办首届“半导体工艺与检测技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与检测技术,从各种半导体制造工艺及其检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。主办单位: 仪器信息网 电子工业出版社直播平台:仪器信息网网络讲堂平台会议官网:https://www.instrument.com.cn/webinar/meetings/semiconductor20220920/会议形式:线上直播,免费报名参会(报名入口见会议官网或点击上方图片)通知:第二轮通知|首届“半导体工艺与检测技术”网络会议将于9月26日召开
  • 促交流,共进步——第七届国际先进光刻技术研讨会成功召开
    仪器信息网讯 2023年10月26日,第七届国际先进光刻技术研讨会(IWAPS 2023)在浙江丽水成功召开。本次会议由中国集成电路创新联盟,中国光学学会主办;中国科学院微电子研究所和丽水经济技术开发区管理委员会承办;浙江富浙资本管理有限公司、广东省大湾区集成电路与系统应用研究院、南京诚芯集成电路技术研究院协办。仪器信息网作为大会合作媒体特对本届会议进行报道。IWAPS会议现场IWAPS为来自国内外半导体工业界、学术界的资深技术专家和优秀研究人员等提供了一个技术交流平台,参会者就材料、设备、工艺、测量、计算光刻和设计优化等主题分享各自的研究成果,探讨图形化解决方案,研讨即将面临的技术挑战。会议吸引了国内外业界数百位资深专家及企业代表参会。中国光学学会光刻技术专业委员会秘书长韦亚一 主持并致辞中国光学学会光刻技术专业委员会秘书长韦亚一研究员主持开幕并首先致辞。韦亚一表示,本次国际先进光刻技术研讨会(IWAPS)由中国集成电路创新联盟和中国光学学会联合主办,旨在促进学术与行业的交流与合作。在过去几年中,国际先进光刻技术研讨会取得巨大成功,来自世界各地的专家学者提交的报告和文章数量逐渐增多,同时他们也为大会带来了许多精彩报告,报告涵盖了光刻技术的最新进展、研究成果和未来发展趋势,为推动光刻技术的发展和应用提供了思路。最后韦亚一对到场嘉宾和参会者表示感谢,并预祝本次大会圆满成功。中国集成电路创新联盟理事长曹健林 致辞中国集成电路创新联盟理事长曹健林在致辞中分享三点感受。首先,本次会议选择在远离中心城市的丽水市召开,说明了集成电路产业已经在中国广泛渗透,未来可能会深入到各个产业,甚至走得更远、更深;其次,看到如此多的参会者参与本次会议,也说明了大家对这一领域技术充满兴趣,都愿意学习和了解,也标志着中国集成电路技术正在不断进步和发展;最后,中国要实现再全球化,需要产业链的各个环节共同努力。在此基础上,中国有能力定义自己的芯片,定义自己的国家,定义自己的应用目标。国际欧亚科学院院士叶甜春 致辞国际欧亚科学院院士叶甜春表示,会议秉承一个理念,即在当前制造阶段,我们需要综合性的解决方案,而很难再通过单一的技术层面来分享。在整个制造过程中,都是围绕图形来进行的,因此凡是与图形生成相关的领域都会被纳入到会议中。其次随着会议的届数不断增加,文章和参会者数量也在不断增长。许多海外学者也愿意进行科技合作和学术交流,并对这些海外学者表示热烈的欢迎。中国光学学会秘书长刘旭 致辞中国光学学会秘书长刘旭谈到,许多重要的行业,如集成电路(IC)等,都与我们的生产生活息息相关。基于此,中国集成电路创新联盟和中国光学学会联合举办了IWAPS,以鼓励和推动这些产业之间的交流与合作。同时,本届会议也得到了高层领导、赞助商和地方政府的鼎力支持,特别是国际高层领导,这也进一步加强了国家学术交流。中共丽水市委常委、常务副市长楼志坚 致辞中共丽水市委常委、常务副市长楼志坚在致辞中介绍了丽水市的特色,并提到此次会议选择在丽水召开,一方面说明了集成电路产业的蓬勃发展,国家科技、经济的强盛发展潜力。另一方面,丽水市具备一定产业基础,同时经济发展迅速、交通便利,为行业的发展提供了动力。最后希望与会者在参会之余,能够感受到丽水的独特魅力,未来将更多的人才、项目等汇集于此,共同推动集成电路产业的发展。丽水经济技术开发区党工委书记、管委会主任刘志伟 致辞丽水经济技术开发区党工委书记、管委会主任刘志伟在致辞中介绍了丽水半导体产业发展情况,并表示丽水经开区已形成了以硅基原材料加特种材料为基础,延伸至半导体设备、设计封装设施等全产业链,形成紧密协作的集聚发展态势和开放包容富有活力的产业链生态。刘志伟表示,希望通过此次会议加大研学深入,推进招商进度,延伸合作力度,政府将以最大政策与各企业家共同携手推进半导体产业的发展,让丽水的产业能够成为全国集成电路发展中新生力量。程序委员会主席顾问李序武 致辞程序委员会主席顾问李序武补充道,此次会议提交的技术论文和报告的数量对比之前有着显著的提高,这些报告充分展示了来自国内外的专家学者的最新研究成果。同时李序武对这些学者表示感谢,他谈到,正是每一位学者的不懈努力和持续追求,才能够在技术领域取得重大突破的关键,希望通过研讨会建立深厚的友谊,为未来合作交流打好基础。报告人:Yasin Ekinci (Paul Scherrer Institute) 报告题目:EUV Coherent Scattering and Imaging for Semiconductor MetrologyEUV光刻是半导体制造中的领先技术,主要因其具有短波长的优势,可以为未来的技术节点实现高分辨率的图案化。尽管如此,进一步缩小规模的过程在光掩模和晶片计量方面面临挑战。传统成像的一个重要替代方案是无透镜成像,称为相干衍射成像(CDI)。在Yasin Ekinci团队最近的实验中,其将EUV CDI应用于多层涂层和薄膜保护的EUV掩模,揭示了其在检测小至20nm的吸收体和相缺陷方面的卓越能力。无透镜方法的一个显著优势在于其固有的灵活性,允许操纵波长和入射角,从而进一步增强成像能力。此外,这些方法能够同时使用不同的计量技术,如散射测量法和反射测量法。Yasin Ekinci团队在尽力创造一种新型的晶圆计量工具,能够在纳米级进行无损测量,适应各种结构和材料。总之,EUV光不仅有助于在光刻中产生较小的特征,而且成为未来技术节点中表征和分析这些复杂特征所需的计量学的一种强大方法。无透镜成像和EUV工具的结合为推进半导体制造所需的计量学带来了巨大的前景。报告人:Hai Cong (AMEC)报告题目:19 Years of AMEC Etch Product Innovation经过19年的不断创新和努力,中微半导体设备(上海)股份有限公司(AMEC)已成为中国领先的高端半导体设备公司。丛海表示,AMEC在CCP(电容耦合等离子体)和ICP(电感耦合等离子体)蚀刻机上开发了多种产品,以覆盖大多数蚀刻应用。AMEC提供单台机和双台机,以追求卓越的工艺性能和显著的成本降低。报告人:ZongQiang Yu (DJEL)报告题目:HPOTM EDA-Plus Enables An Ultimate DTCODTCO的本质是实现设计和制造之间的协同合作,使双方能够释放各自的优势,从而寻求更优化的整体结果。东方晶源(DJEL)的HPOTM旨在建立一个平台和关键引擎,通过在设计和制造之间建立双向数据交换,实现最终的DTCO解决方案,使无晶圆厂能够在制造车间内获得更多的可见性,同时,芯片制造过程可以系统地考虑设计者的意图,最终提高整体效率和产量。在报告中,俞宗强介绍了DJEL在人工智能的帮助下集成Place&Route工具和OPC工具的最新实践,通过双方的优势提高了设计的可制造性和芯片性能,并展示了HPOTM平台如何为行业提供更具竞争力的解决方案,以构建更好的从设计者的意图到良好芯片(G2GC)的流程。报告人:Wenzhan Zhou (Shanghai Huali)报告题目:From AI Assist to AI Driven: AI Applications in Advanced Patterning Process Development据介绍,Al是一种具有高级校准(训练)算法的复杂模型(深度神经网络模型),用于描述大数据模式或行为并进行预测。先进的IC制造是一个数据量巨大的复杂系统,为了确保更高和稳定的最终产量,需要复杂的模型来描述输入值与输出值的关系和行为,因此Al模型适合处理这种具有大数据的复杂系统。有了Al辅助应用,手动工作由Al模型完成,效率显著提高,主要自动化应用是缺陷图像分类;近年来,由于生成Al模型的进步,Al驱动的应用蓬勃发展,EDA领域也涌现出许多设想和应用,如Al OPC、热点检测/固定和图像处理,如去噪等。报告人:Le Hong (Siemens EDA)报告题目:ML driven extended DTCO from technology launch to HVM据介绍,现代半导体技术节点的设计到制造过程始于设计技术协同优化(DTCO)。DTCO经常处理过程和设计假设,这些假设后来可能在实际过程开发阶段发生巨大变化。DTCO后的单个模块,如设计、重定目标、辅助特征插入、光学邻近校正(OPC)、掩模邻近校正(MPC)、掩膜带出和晶片处理,以分区的方式解决了系统性问题设置了标准的模块内验证机制,以防止系统缺陷的传播,如DRC签署物理设计、OPC验证验证OPC解决方案、计量和检验测量过程,通常是信息交换和协同优化模块间也有完善的电子诊断。只有在发生生产线类型的关键故障时才会出现。先进节点中使用了可制造性设计(DFM)和光刻友好设计(LFD)等技术,以便于更好地进行设计反馈。随着Fabless公司和Fab厂大力推动,需要一个系统的信息交换和分析平台,以全面的生命周期支持从设计到制造的各种模块。报告介绍了机器学习方法和基础设施,以促进延长设计技术协同优化生命周期。报告人:Qiang Wu (Fudan University)报告题目:Impact of the Shrink of Photolithographic Design Rules by10%光刻技术是集成电路技术持续发展的推动者。每一套设计规则都是在充分考虑光刻能力的情况下制定的。由于光刻的分辨率是设计尺寸接近光学衍射限制的规则,因此可能需要在一定程度上折衷分辨率限制,例如一些困难图案的限制。在报告中,伍强通过仿真来证明光刻设计规则减少10%对光刻工艺产生的影响。报告人:Kan Zhou (Shanghai Huali)报告题目:An Innovative Lithography Process Window Decision Based On Aggregation of Multi Machine Learning Approaches光刻是集成电路制造中的一个关键过程,它涉及使用光化学反应将预先在掩模上制备的图案转移到晶片衬底上。光刻过程中的两个核心参数是曝光的焦点和能量。由于光刻过程中的各种外部环境干扰,预设参数的允许波动范围被称为光刻工艺窗口。为了选择合适的光刻工艺窗口,工程师通常使用电子显微镜获得不同曝光参数的相应光刻图像,从而创建曝光-能量矩阵(FEM)图像。经验丰富的工程师可以分析FEM矩阵图像来确定过程窗口。报告中提出了一种基于无监督学习的方法来确定光刻工艺窗口,旨在显著提高光刻技术质量评估的准确性和效率。通过采用这种方法,克服了现有技术中依赖手动标签和主观评估的局限性,实现了光刻工艺窗口的自动确定。报告人:Maohua Ren (United Semiconductor (Xiamen) Co.)报告题目:The Ultimate Step to Predict Yield Impact from Mask by Lithography Printability Review全面的掩模检查是晶圆厂工艺控制和产量管理的一种常见但关键的方法。随着分辨率增强技术(RET)和源掩模优化(SMO)技术的全面采用,检测后缺陷审查和处理变得比以前更具挑战性。手动一致地挑出掩模上的所有晶片印刷缺陷是非常耗时和困难的。任茂华之前的工作介绍了一种系统的解决方案来处理这种情况。在报告中,任茂华重点讨论了利用光刻印刷检查(LPR)技术预测临界尺寸(CD)影响的最终步骤,不仅解释了LPR工作流程的概念,还讨论了在生产中应用LPR的三个关键挑战以及如何解决它们。报告人:Jiwei Shen (East China Normal University, Shanghai Huali)报告题目:A Masked Autoencoder-Based Approach for Defect Classification in Semiconductor Manufacturing在半导体制造业中,缺陷的自动分类至关重要。即使是最轻微的缺陷也可能影响芯片性能或导致完全故障,从而影响芯片的良率。目前,缺陷分类仍然严重依赖于手动过程,通常会导致大量的错误分类。报告中提出了一种基于掩模自动编码器的芯片制造缺陷自动分类方法。以一种与任务无关的方式,在不需要任何标签的情况下对大量SEM(扫描电子显微镜)图像进行自监督预训练。以特定任务的方式,使用有限数量的高度可靠的标签来微调网络。实验结果表明,这种方法能够用最少的标记数据,准确地对缺陷进行分类,大大降低了人工成本。报告人:Toru Fujimori (FUJIFILM)报告题目:Recent Progress of EUV Resist Development for Improving Chemical Stochastic2019年,极紫外(EUV)光刻技术已应用于大批量制造(HVM),用于制备先进的半导体器件。随着最近在源功率改进方面的快速进展,包括光刻胶材料在内的EUV光刻开发已经达到HVM的要求。然而,EUV抗蚀剂材料的性能仍然不足以满足预期的HVM要求,即使使用最新合格的EUV抗阻剂材料也是如此。其中一个关键问题是随机问题,它将成为“缺陷”,如纳米桥或纳米夹。报告中,藤森对EUV光刻中的随机因素进行了分类,并介绍了它们的改进情况。在光刻步骤中观察到光子随机性和化学随机性这两个主要的随机问题。还介绍了包括光刻性能在内的各种随机问题的改进情况。报告人:Yanqing Wu (Shanghai Advanced Research Institute)报告题目:EUV Interference Lithography and Application in SSRF据介绍,在SSRF中,基于同步辐射(SR)的EUV/软X射线干涉光刻已被用于EUV光刻胶的评估和制造各种纳米级严格的周期性结构。作为EUV光刻胶测试工具,SSRF EUV-IL站正朝着5nm技术节点迈进;为了满足纳米科学和工业的更多要求,在SSRF-XIL站上开发了多种EUV-IL/XIL方法,如XIL缝合技术和非常规掩模XIL。报告人:Mohammad S. M. Saifullah (Paul Scherrer Institute)报告题目:A Novel Metal-Organic Resist Platform for High-Resolution Extreme Ultraviolet (EUV) Lithography未来技术节点的半导体制造进展面临巨大挑战。其中,用于极紫外光刻(EUVL)的高灵敏度和高分辨率光刻胶的可用性是可能阻碍未来计划的主要挑战之一。为了应对这些挑战,Mohammad S. M. Saifullah团队开发了一种新型金属有机抗蚀剂平台。利用该平台,其探索了新型金属有机抗蚀剂,目的是提高图案化分辨率,降低线宽粗糙度,同时保持灵敏度。其特征是质量<500道尔顿的含金属(或类金属)分子抗蚀剂,以及中心金属原子周围的高度可定制的有机环境。选择中心金属原子或准金属周围的有机环境的这种灵活性提供了相当大的工艺自由度来调整这些抗蚀剂的灵敏度和原子经济性。更重要的是,抗蚀剂平台是用于图案化与EUV和电子束光刻兼容的含金属/准金属的功能性抗蚀剂的通用方案。报告中讨论了选定抗蚀剂的EUV和电子束光刻的图案化结果,并展示高分辨率图案化的例子。
  • 极紫外光刻新技术能大幅提高能源效率降低制造成本
    据科技日报报道称,日本冲绳科学技术大学院大学(OIST)官网最新报告,该校设计了一种极紫外(EUV)光刻技术,超越了半导体制造业的标准界限。基于此设计的光刻设备可采用更小的EUV光源,其功耗还不到传统EUV光刻机的十分之一,从而降低成本并大幅提高机器的可靠性和使用寿命。在传统光学系统中,例如照相机、望远镜和传统的紫外线光刻技术,光圈和透镜等光学元件以轴对称方式排列在一条直线上。这种方法并不适用于EUV射线,因为它们的波长极短,大多数会被材料吸收。因此,EUV光使用月牙形镜子引导。但这又会导致光线偏离中心轴,从而牺牲重要的光学特性并降低系统的整体性能。为解决这一问题,新光刻技术通过将两个具有微小中心孔的轴对称镜子排列在一条直线上来实现其光学特性。由于EUV吸收率极高,每次镜子反射,能量就会减弱40%。按照行业标准,只有大约1%的EUV光源能量通过10面反射镜最终到达晶圆,这意味着需要非常高的EUV光输出。相比之下,将EUV光源到晶圆的反射镜数量限制为总共4面,就能有超过10%的能量可以穿透到晶圆,显著降低了功耗。新EUV光刻技术的核心投影仪能将光掩模图像转移到硅片上,它由两个反射镜组成,就像天文望远镜一样。团队称,这种配置简单得令人难以想象,因为传统投影仪至少需要6个反射镜。但这是通过重新思考光学像差校正理论而实现的,其性能已通过光学模拟软件验证,可保证满足先进半导体的生产。团队为此设计一种名为“双线场”的新型照明光学方法,该方法使用EUV光从正面照射平面镜光掩模,却不会干扰光路。
  • 2GL双光子灰度光刻技术成为消除台阶效应的光学加工解决方案
    斯图加特大学的Harald Giessen课题组研究人员使用Nanoscribe的双光子灰度光刻系统Quantum X加工出了具有优异光学性能的双层透镜(下方左图)。采用非球面面型设计的透镜对聚焦效率有明显提升,并且双层透镜对比单层透镜在时场上有明显提升(下方右图)。“我们设计、打印和优化了直径为300微米的空气间隔双透镜。优化后,双透镜的顶部透镜残余形状偏差小于100纳米,底部透镜残余形状偏差小于20纳米。我们利用USCF1951分辨率测试图表检查光学性能,发现分辨率达到645线对每毫米。” ---Harald Giessen课题组在实验中,研究人员引入了传统的双光子聚合技术(2PP)与先进的双光子灰度光刻技术(2GL)之间的比较。采用双光子灰度光刻技术加工出的透镜表面无台阶结构(step free),能够带来优异的光学性能。这是由于传统的双光子聚合技术中光斑大小不能全自动进行调节,导致加工出的透镜表面存在台阶结构,而这是微光器件中不希望看到的,因此即使多次对结构进行迭代优化,始终难以有满意的结果。双层透镜在USCF 1951标准的测试中结果高达645lp/mm,其中300微米口径的透镜PV值测量结果达到100nm,研究人员认为此数值有希望到达20nm。USAF-1951是目前唯一公认的能够对光学器件进行测量和量化的标准。尽管会受到系统中的镜头、匀光器、CMOS传感器等各组件性能的影响,也会有人眼识别带来的误差,但是透镜的性能瓶颈是能够明显看出的。测试结果是该透镜的分辨率达到645lp/mm,而在网上能搜索到的商用镜头的Z高数值为200lp/mm左右。也就是说这个数值代表了打印的透镜具有优异光学性能,适用于高要求的图像采集系统和显示系统。上图为使用共聚焦显微镜测试的PV值结果。这个数值反映了透镜设计值与测量值的误差,同时要参考透镜的口径进行评价,测量过程是对双层透镜进行单独测量,上方口径较大的300微米直径的透镜PV值为100nm,下方口径为162微米的透镜PV值为20nm,一般情况下,透镜的口径越大,PV值越难控制。同时,共聚焦测试出空间均方根表面粗糙度为4nm。4nm表面粗糙度和20nm PV值,这两个数值为双层透镜的645lp/mm分辨率提供了基础保证,也证明了双光子灰度光刻技术适用于加工超高精度微光学器件。双光子灰度光刻技术优势传统的双光子聚合技术(2PP) 对比其他加工技术的优势在于加工体素的悬空,可以一步打印出不用支架支撑的具有三维复杂结构的微纳器件,如钟摆结构和倒扣结构。这种比较简单的双光子聚合技术利用均一或变化缓慢的光斑在三维空间内逐层移动将结构加工出,这种技术加工出的结构就像金字塔一样具有一个个台阶,这是因为光斑大小没有随结构形状进行快速变化而产生的。基于传统双光子聚合技术,Nanoscribe公司推出了双光子灰度光刻技术(2GL)。该技术能够将悬空的光斑以1MHz的频率进行4096级调节,软件和硬件上都实现了全自动。结合灰度技术后,由于两个值不再受Z小加工体素的限制,而是依赖于光斑的变化速率与级数,打印结构的形状精度和表面粗糙度可以得到显著提升。双光子聚合技术和双光子灰度光刻技术的对比。左图为双光子聚合技术,右图为双光子灰度光刻技术Nanoscribe公司产品应用经理Benjamin Richter分别使用传统的双光子聚合技术(下图左侧)与双光子灰度光刻技术(下图右侧)加工出一个小姑娘模型,来验证台阶效应的消除。这简直是从低分辨率升级到了4K时代。在提升精度的同时,灰度技术还可以显著提升加工速度。4096级光斑大小调节能够以一层加工出灰阶位数为12bit的结构。Nanoscribe的QX平台系列设备比PPGT2的加工速度提升了1个数量级。 PMID: 36785392 DOI: 10.1364/OE.480472详情请咨询纳糯三维科技官方网站 nanoscribe-solutions.cn联系我们 china@nanoscribe.com德国总部中国子公司Hermann-von-Helmholtz-Platz6,76344 Eggenstein-Leopolds-hafen,Germany上海徐汇区桂平路391号B座1106A+49 721 9819 800china@nanoscribe.com
  • 日本与荷兰签署半导体合作备忘录:采购 ASML 光刻机,加强技术合作
    据日本经济新闻报道,日本经产省与荷兰经济事务和气候政策部在东京签署了半导体合作备忘录。二者将共同推进欲量产 2 nm 工艺的日本晶圆代工商 Rapidus 与荷兰光刻机巨头 ASML 的合作,并联手进行技术开发。报道称,ASML 量产尖端半导体工艺所需的 EUV 光刻机。Rapidus 计划利用经产省提供的补贴,采购 EUV 光刻设备。IT之家注意到,EUV 光刻机在全球范围内较为短缺,面临着台积电、英特尔、三星等巨头的争抢。报道指出,如果 Rapidus 和 ASML 展开合作,有望强化供应链。出席签约仪式的日本经产相西村康稔以 Rapidus 为先例,表示“希望加强半导体领域的政府间合作”。此前,荷兰与日本先后追随美国的脚步,加强半导体出口管制。日本 7 月起将半导体制造设备等 23 项产品加入出口管制名单,荷兰也将于本周对 ASML 的 5 nm 级 DUV 光刻机 NXT:2000i、NXT:2050i 及 NXT:2100i 加强出口管制。
  • 液体颗粒计数器解决高粘度光刻胶检测方案深度剖析
    在微纳米制造领域,高粘度光刻胶作为精密图形的关键转移媒介,其纯净度直接关系到最终产品的性能与良率。针对这一挑战,我们精心设计了基于先进液体颗粒计数器的检测方案,旨在精准捕捉并量化光刻胶中的微小颗粒,确保生产过程的无瑕衔接。1、方案背景:随着半导体工艺步入纳米时代,对光刻胶的洁净度要求达到了前所未有的高度。传统检测方法在面对高粘度、低流动性的光刻胶时,往往力不从心,难以有效分离并计数微小杂质。因此,开发一种高效、准确的检测方案显得尤为迫切。2、检测仪器亮点:本方案采用的液体颗粒计数器,集成了高精度激光散射技术与智能算法,能够轻松穿透高粘度介质,精准捕捉直径小至亚微米的颗粒。其独特的流路设计与温控系统,确保了检测过程中光刻胶的稳定流动与均匀分散,有效避免了因粘度差异引起的测量误差。3、检测步骤详解:1)样品预处理:采用特制稀释剂与搅拌装置,确保光刻胶均匀稀释至适宜粘度,同时减少气泡生成。2)自动进样:通过精密泵送系统,将处理后的光刻胶样品平稳送入计数器检测室。3)实时检测:激光束在样品中穿梭,散射光信号被高灵敏度探测器捕捉,转化为颗粒大小与数量的精确数据。4)数据分析:智能软件即时处理数据,生成直观报告,包括颗粒分布图、浓度趋势等关键信息。4、数据结果解读:检测结果不仅反映了光刻胶的即时洁净状态,还为工艺优化提供了宝贵依据。通过持续监测,可及时发现并纠正潜在污染源,保障生产线的稳定运行。5、注意事项:-确保检测环境无尘、恒温,以减少外界干扰。-样品处理时需严格控制稀释比例与搅拌时间,避免引入新污染源。-定期校准仪器,保证测量结果的准确性与可靠性。
  • 苏大维格牵头光刻机关键技术国家重大仪器专项通过验收
    p strong   仪器信息网讯 /strong 7月23日,苏大维格科技集团股份有限公司(以下简称“苏大维格”)发布公告,公司于近日收到由国家科学技术部下发的验收文件【国科资函[2019]14号】,公司牵头的国家重大科学仪器设备开发专项“纳米图形化直写与成像检测仪器的研发与应用”项目通过综合验收。 br/ /p p   项目研发的纳米图形化直写与成像检测仪器,解决了微纳结构光场的可调控技术、图形的高速率写入机制、微纳结构与纳米精度多轴光机系统的设计与可靠性等难题 实现了从纳米光子晶体结构到微米级任意结构的高效率光刻。项目的验收通过,在研发创新与技术进步、推动国产科学仪器进口替代、支撑重大需求与重点工程等方面取得了较好的成就。 /p p   项目由苏大维格联合上海理工大学、中国科学院上海光学精密机械研究所、中国科学院苏州纳米技术与纳米仿生研究所、南开大学等6家单位共同完成。该项目总体目标包括攻克纳米干涉细分、纳秒时序下空间调制同步与纳米精度控制、3D导航Z-校正和海量数据处理的关键技术,开发紫外空间光调制、共焦成像检测关键部件和大数据量图形软件,研制出具有自主知识产权的纳米图形化直写与成像检测仪器等。项目主要解决了微纳结构光场的可调控技术、图形的高速率写入机制、微纳结构与纳米精度多轴光机系统的设计与可靠性等难题 面向无掩模光刻,研制了紫外激光直写光刻的关键技术、软件和装备 实现了从纳米光子晶体结构到微米级任意结构的高效率光刻,与目前其他激光直写技术相比,速度更快、效率更高。 /p p    strong 关于苏大维格 /strong /p p style=" text-align: left " & nbsp & nbsp & nbsp img style=" max-width: 100% max-height: 100% width: 100px height: 81px " src=" https://img1.17img.cn/17img/images/201907/uepic/41439ff1-447b-460a-9d01-7e6880853572.jpg" title=" 1.jpg" alt=" 1.jpg" width=" 100" height=" 81" border=" 0" vspace=" 0" / /p p   公司成立于2001年,致力于微纳关键技术、柔性智能制造、柔性光电子材料的创新应用。经多年发展,苏大维格成为微纳光电材料、新型显示和纳米印刷领域自主创新的典型企业,涉及微纳光学印材、纳米印刷、3D成像材料、平板显示(大尺寸电容触控屏,超薄导光板)、高端智能微纳装备(纳米压印、微纳直写光刻、3D光场打印等)的研发与产业化。 /p p   据苏大维格2018年年度业绩报告,2018年公司实现营收11.65亿元,营业利润7183.78万元。 /p p    strong 以下为公告原文: /strong /p p style=" text-align: center "    strong 苏州苏大维格科技集团股份有限公司 /strong /p p style=" text-align: center " strong   关于公司牵头的国家重大科学仪器设备开发专项项目 /strong /p p style=" text-align: center " strong   通过综合验收的公告 /strong /p p   本公司及董事会全体成员保证信息披露的内容真实、准确、完整,没有虚假记载、误导性陈述或重大遗漏。 /p p    strong 一、项目概述 /strong /p p   苏州苏大维格科技集团股份有限公司(以下简称“公司”)牵头的国家重大科学仪器设备开发专项“纳米图形化直写与成像检测仪器的研发与应用”项目由公司联合上海理工大学、中国科学院上海光学精密机械研究所、中国科学院苏州纳米技术与纳米仿生研究所、南开大学等 6 家单位共同完成。该项目总体目标包括攻克纳米干涉细分、纳秒时序下空间调制同步与纳米精度控制、3D 导航 Z-校正和海量数据处理的关键技术,开发紫外空间光调制、共焦成像检测关键部件和大数据量图形软件,研制出具有自主知识产权的纳米图形化直写与成像检测仪器等。项目主要解决了微纳结构光场的可调控技术、图形的高速率写入机制、微纳 /p p   结构与纳米精度多轴光机系统的设计与可靠性等难题 面向无掩模光刻,研制了紫外激光直写光刻的关键技术、软件和装备 实现了从纳米光子晶体结构到微米级任意结构的高效率光刻,与目前其他激光直写技术相比,速度更快、效率更高。 /p p    strong 二、项目验收情况 /strong /p p   公司于近日收到由国家科学技术部下发的验收文件【国科资函[2019]14 号】,公司牵头的国家重大科学仪器设备开发专项“纳米图形化直写与成像检测仪器的研发与应用”项目进展顺利,进度和成果产出达到任务书要求的考核指标,顺利通过综合验收。 /p p    strong 三、对公司的影响及风险提示 /strong /p p   本次“纳米图形化直写与成像检测仪器的研发与应用”项目的验收通过,在研发创新与技术进步、推动国产科学仪器进口替代、支撑重大需求与重点工程等方面取得了较好的成就,对提高公司研发创新能力、提升产品的核心竞争力具有积极的推动作用,有利于促进公司产品升级,为公司健康、可持续发展奠定了坚实的基础。 /p p   此次项目通过验收的事项不会对公司近期财务状况、生产经营产生重大影响,敬请广大投资者谨慎决策,注意投资风险。 /p p   特此公告。 /p p style=" text-align: right "   苏州苏大维格科技集团股份有限公司 /p p style=" text-align: right "   董 事 会 /p p style=" text-align: right "   2019年7月23日 /p p br/ /p
  • 基于面投影微立体光刻3D打印技术的共形压电传感器设计与制造
    随着柔性电子领域的快速发展和物联网技术的普及,能够用来监测人类生理指标(如心跳、脉搏、运动周期、血压等)和机械运行状态(如主轴跳动、机器人运动状态感知等)信号的可穿戴电子器件逐渐应用到社会生活中。可穿戴电子器件的共形设计和制造使其在电子皮肤、柔性传感和人工智能中具有潜在的应用前景。当前,大多数电子器件是利用光刻、压印技术和电子束在硅表面进行制备。然而由于缺乏弯曲表面的加工工艺,要制备与复杂曲线表面(例如人体关节)共形的电子器件尤为困难。面投影微立体光刻3D打印技术(PμSL)可快速制造并成型任意形状和可设计的结构,为三维共形柔性电子器件的制造提供了灵活性和简便性。然而,考虑到柔性材料的成型工艺与功能特性,传统的制造工艺限制了功能材料的设计范围,降低了微结构的设计与成型尺度,制约了功能器件的成型和性能提升的范围。图1 论文工作的摘要图近日,西安交通大学机械工程学院陈小明、李宝童、邵金友教授等研究人员,从功能压电纳米复合材料的改性与压电器件的微结构拓扑优化等两方面出发,利用面投影微立体光刻3D打印技术(nanoArch S140,10μm精度,深圳摩方),通过设计并调节压电氮化硼纳米管材料(BNNTs)和光敏聚合物树脂的界面相容性,结合拓扑优化微结构方法,实现了具有高灵敏度、宽响应,且结构可覆形的柔性压电传感器制造。该研究以“3D printed piezoelectric BNNTs nanocomposites with tunable interface and microarchitectures for self-powered conformal sensors”为题发表在国际高水平期刊《Nano Energy》上,为高性能可穿戴柔性压电传感器件的设计与制造提供了新思路。工作要点一:功能纳米复合材料(BNNTs)的表面改性与材料制备,超低负载量(0.2wt%)的纳米复合材料表现出出色的压电性能:图2 功能纳米复合材料(BNNTs)的设计、改性与表征:a)BNNTs表面功能化工艺;(b)原始BNNTs/功能化BNNTs和树脂基体界面力学行为示意图;(c)极化与未极化BNNTs等压电输出信号为了提高压电纳米填料在有机聚合物溶液中的相容性和分散性,以及纳米复合材料的压电性能,通过用硝酸处理来实现纳米管表面的氧化和羟基形成,在超声处理下,官能化分子(TMSPM)与BNNT-OH表面的官能团嫁接,生成化学官能化的纳米管(F-BNNTs)。同时,纳米管上的丙烯酸酯基团显着提高了BNNTs在聚合物基体溶液中的分散性及压电输出;实验表明:相对于原始BNNTs,基于F-BNNTs的复合压电聚合物的压电输出提高了140% (见图2)。工作要点二:结构拓扑优化显著提高了复合材料的压电性能,微结构的纳米复合膜在较宽的响应区域上展现出高灵敏度; 课题组研究人员的前期研究工作表明,微结构化能显著提升压电器件的输出信号(Small 13 (23), 1604245;Nano Energy 60, 701等)。因此为了实现器件电信号输出的最大化,本文采用结构拓扑优化的方法优化压电膜的微观结构,并利用高精度面投影微立体光刻3D打印的微尺度加工能力,实现拓扑微结构的制造。数值模拟结果表明,微结构的引入能显著提高压电输出,并且具有优化微结构(struct B-P 和struct C-P)的压电薄膜能进一步提高信号输出(见图3)。图3 平面和微图案化压电薄膜的设计和仿真结果通过微结构3D打印拓扑结构及压电信号测试,表明F-BNNTs /树脂复合膜的最大输出电压记录为4.7 V,与原始的平面F-BNNTs压电膜相比,输出提高了4.3倍,比未官能化的BNNTs基复合膜高出10倍。这种显著增强主要归因于聚合物和压电填料之间有效应力传递,以及复合膜的拓扑微结构设计。图4 (a-f)不同微结构压电薄膜;(g)薄膜压电输出;(h)压电微结构薄膜的压电输出实验与仿真对比工作要点三:基于PμSL技术实现共形压电器件制造与应用;与传统的微加工方法相比,面投影微立体光刻3D打印技术在设计和制造具有复杂几何形状的共形电子器件上具有更大的灵活性,如图5所示,曲面形状和微结构的制造证实了功能材料在复杂表面上的非平面制造能力。图4 (a)面曝光3D打印原理;(b)微结构化的共形薄膜示意图可打印压电材料被用于构造机器人手的智能触觉应变传感器。为了确保压电器件在弯曲或不平坦表面上的功能性,根据机械手的表面设计了合适的3D模型,然后将共形器件打印并安装到机械手不同的指骨上,通过建立应变感应电压与特定手部姿势的映射关系,手指上的应变传感器阵列可为机械手提供触觉感测的能力。图5(a–d)机械手上的共形应变传感器可转换不同的姿势,例如松弛(a),抓取(b),吊勾(c)和托平(d);(e)从托举球到抓紧球的姿势以及相应的电压响应(f)。如图5所示,手指上的应变传感器阵列可以使用14个压电应变传感器直接转换手的姿势,当用手握住不同结构的物体时,应变传感器会记录弯曲手指的不同输出信号。从预定义的传感器中获得的针对这种姿势的力的大小及其空间分布。3D投影微立体光刻3D打印功能化纳米复合材料实现功能器件制造的方法,并通过材料改性与微结构设计两方面协同提升信号输出。研究结果表明:在
  • 晶瑞光刻机的前世今生:来之不易的光刻机
    光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,近日却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。苏州晶瑞曾先后承担国家“863”、“02”等重大专项,为微电子材料国产化做出了重要贡献。近日,苏州晶瑞发布公告称购得ASML XT 1900 Gi型光刻机一台,声称取得突破性进展,意义重大。目前设备于已运抵苏州并成功搬入公司高端光刻胶研发实验室。而此次购买旨在研发出更高端的ArF光刻胶,并最终实现应用于12英寸芯片制造的战略布局。甚至,相关媒体称这台光刻机将用于28nm光刻胶研发。据了解,这是一台13年前的ASML的DUV光刻机,总价款为 1102.5 万美元(折合 7508 万人民币)。然而,小编在网上和ASML官网并未查找到该型号光刻机的信息。最近,有网友透露了这款光刻机的相关信息,让读者可以一窥这款光刻机的的前世今生。据悉,这台光刻机是中国大陆最早一台浸没式光刻机,由当年无锡海力士采购。几年后,海力士发生大火,火扑灭之后,海力士无奈之下花了大价钱,去原厂维修之后就运回韩国,做其他产品去了。13年后,SK hynix淘汰旧设备,这台机器进入中国大陆公司视野。光刻机一直是国内半导体产业采购的难点,特别是ASML的光刻机更是供不应求。面对这次来自不易的机会,去年9月底,晶瑞就发布公告说,准备向韩国芯片厂商SK海力士购买ASML光刻机。未来在对设备进行翻修后,这台光刻机将协助晶瑞进行光刻胶的研发。不仅晶瑞股份在采购光刻机,南大光电、上海新阳等光刻胶研发企业都对ASML的光刻机情有独钟,也都各自采购了相应的光刻机。该网友进一步透露,2月份后,西安三星就有一批机况非常好的光刻机要拿出来卖,大约有3-4台,价格更便宜,性能更好,维修费更低。届时这些设备花落谁家,我们将持续关注。
  • 光芯片技术攻关及产业化立项,将新增光刻、刻蚀等设备20余台
    5月23日,武汉敏芯半导体有限公司用于5G数据中心高速光芯片核心技术攻关及产业化项目立项。公示信息显示,该项目针对目前国内高端芯片严重依赖进口的现状,基于5G通信对高速光芯片的要求,开展相关 DFB光芯片技术和工艺研究,完成5G高速光芯片中高带宽,宽温工作的技术难题攻关;建设高速芯片生产制造线,完成产品的产业化及“国产化”替代,加快光通信产业升级。此外,项目将新增2英寸晶圆工艺的光刻机、反应离子刻蚀设备、芯片测试机和网络分析仪等设备共20余台,年产能增加2000万只,产值增加2亿元。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制