当前位置: 仪器信息网 > 行业主题 > >

等离子刻蚀

仪器信息网等离子刻蚀专题为您整合等离子刻蚀相关的最新文章,在等离子刻蚀专题,您不仅可以免费浏览等离子刻蚀的资讯, 同时您还可以浏览等离子刻蚀的相关资料、解决方案,参与社区等离子刻蚀话题讨论。

等离子刻蚀相关的资讯

  • 牛津最新等离子技术App可用于等离子体刻蚀和沉积
    牛津仪器等离子技术最近更新的App包括一个明确和互动的元素周期表、详细的等离子体、离子束和原子层沉积工艺信息。它允许iPhone和iPad用户查阅工艺化学的相关信息,可以通过简单的周期表界面实现任何材料的刻蚀和沉积。   这个周期表App可以免费下载,将吸引大量的工业和学术界的用户。同时,它也是一个优秀的教学设备,可以展示单个元素属性和电子构型。
  • 前十月进口额远超去年全年:等离子体干法刻蚀机海关进口数据分析
    自美国提出终断该国企业与华为多年的芯片供应以来,研制中国自己的国产芯片提上了我国的发展日程,也是当前中国市场最为紧迫的一项技术,关于芯片技术发展的讨论不仅在专业领域盛行,也成为了普通民众议论的焦点所在。而芯片的制造离不开刻蚀设备,其中等离子体刻蚀机更是先进制程中必不可少的设备,是重中之重。2021年是“十四五”开局之年,中国政府也推出了一系列激励政策来鼓励半导体产业发展,明确了半导体产业在产业升级中的重要地位,同时全球自2020年爆发的“芯片荒”在全球范围内愈演愈烈,却迟迟得不到缓解,各行各业都受到了一定的影响,受此影响包括仪器产业、新能源产业等在内的诸多产业都面临产品涨价、缺货的危机。危中有机,全球半导体行业的巨震却是中国半导体产业的发展契机。通过分析海关等离子体刻蚀机的进口情况,可以从一个侧面反映出中国等离子体刻蚀机市场的一些情况,进而了解到中国半导体产业的一些情况。为了解过去2021年中等离子体刻蚀机的进出口情况,仪器信息网特别对2021年1-10月,等离子体干法刻蚀机(商品编码84862041)进口数据进行了分析汇总,为大家了解中国目前等离子体刻蚀机市场做一个参考。2021年1-10月进口等离子体刻蚀机贸易伙伴变化(人民币/万元)贸易伙伴进口额(元)进口数量(台)均价(元/台)美国777014343651615058418日本621252727637416611035韩国328231684432710037666中国台湾18771365038921091421新加坡181269896211316041584马来西亚17790801177723104937英国544211135786977066德国203676120414967710中国1296367043240918荷兰632916423164582法国415082322075412波兰643071643072021年1-10月各贸易伙伴进口总额(人民币/元)2021年1-10月,中国进口等离子体干法刻蚀机总额约235亿元,总台数达1624台,其中美国进口金额最多约78亿元,台数达516台,占比高达33%,日本进口金额紧随其后约62亿元,374台,占比达26%。可以看出,目前等离子体刻蚀机主要来自于美国和日本,进口均价都超1500万元/台,此类等离子体刻蚀机以高端产品为主,主要用于生产。值得注意的是,波兰进口的一台等离子体刻蚀机仅6万多元,此设备可能是用于科研领域的低端产品或配件。从此前统计的【2020年等离子体刻蚀机海关进出口数据盘点】可以看出,2020年1-12月,我国共进口等离子体刻蚀机1276台,进口额约为170亿元,而今年仅前十个月就已超去年全年的进口额。这表明,今年我国晶圆代工厂的建设热度不减,这也和如今的半导体投资热、芯片荒有关。2021年1-10月等离子体干法刻蚀机进口数据(人民币/万元)从进口额的时间变化趋势可以看出,等离子体刻蚀机进口额在4-6月出现了一个高峰,进口额连续大幅度增长,而在七月份却断崖式下跌,直到回归正常水平。这一变化可能和疫情有关,在夏季全球疫情由于气温上升得到缓解,海关进口更畅通,而春秋季节气温较低,全球疫情出现反复。另一个可能的原因是海运费用暴涨导致六月以后进口额降低。2021年1-10月等离子体刻蚀机各注册地进口数据变化(单位/万元)2021年1-10月等离子体干法刻蚀机注册地进口额分布那么这些等离子体刻蚀机主要销往何处?通过对进口数据的注册地进行分析发现,陕西省、上海市和湖北省的进口额最多,分别为54亿元、43亿元和41亿元。等离子体刻蚀机主要应用于集成电路生产中,这表明这些地区在新建或改造集成电路生产线上投入较大,对等离子体刻蚀机的需求也在激增。我国在1-10月从韩国进口等离子体刻蚀机总额约33亿元,其中注册地为陕西省的进口额约19亿元,占比约59%。这表明,陕西省等离子体刻蚀机的进口可能和三星等韩国企业在西安的半导体生产线有关。
  • 出口增长63%!2020年等离子体刻蚀机海关进出口数据盘点
    自美国提出终断该国企业与华为多年的芯片供应以来,研制中国自己的国产芯片提上了我国的发展日程,也是当前中国市场最为紧迫的一项技术,关于芯片技术发展的讨论不仅在专业领域盛行,也成为了普通民众议论的焦点所在。而芯片的制造离不开半导体设备,其中刻蚀设备是其中的重中之重。据了解,目前我国已经突破了刻蚀设备的技术难关,其中中微公司的5nm刻蚀设备已成功销往海外,更是进入台积电的生产线。如今最先进的芯片制造主要使用干法刻蚀技术即等离子体刻蚀技术,相对于湿法刻蚀,具有更好的各向异性,工艺重复性,且能降低晶圆污染几率,因此成为了亚微米下制备半导体器件最主要的刻蚀方法。伴随着国际半导体行业的产能危机,国内等离子体刻蚀机需求或将爆发。通过分析海关等离子体刻蚀机的进口情况,可以从一个侧面反映出中国等离子体刻蚀机市场的一些情况。2020年是特殊的一年,新冠肺炎疫情在全球爆发,各行各业都受到了一定的影响,包括半导体产业。为了解过去近两年中等离子体刻蚀机的进出口情况,仪器信息网特别对2019、2020年1-12月,等离子体刻蚀机(商品编码84862041)进出口数据进行了分析汇总,为大家了解中国目前等离子体刻蚀机市场做一个参考。2019、2020年1-12月海关等离子体刻蚀机进出口数据统计统计年月进口量(台)进口金额(人民币:元)出口量(台)出口金额(人民币:元)2019年1-12月109712,685,798,98279353,896,8762020年1-12月127616,949,614,747122577,419,680从上表可以看到,2020年1-12月,我国共进口等离子体刻蚀机1276台,进口额约为170亿元,进口单价约为1328万元。而2019年同期,等离子体刻蚀机进口1097台,进口额约为127亿元,进口单价约为1156.4万元。与去年同期相比,2020年1-12月我国等离子体刻蚀机进口台数增加约16.3%,进口额增加约33.6%,进口单价提高约15%。从整体来看,2020年进口等离子体刻蚀机市场增长非常明显,同时进口单价也略有提高。而从出口情况来看,2020年1-12月,我国共出口等离子体刻蚀机122台,出口额约为5.8亿元,出口单价约为473万元。而2019年同期,等离子体刻蚀机出口79台,出口额约为3.54亿元,出口单价约为448万元。总体而言,我国等离子体刻蚀机出口量仍然很少,但2020年比上年同期出口金额明显增加约63%,出口数量增加约54%,出口单价也略有提高。2019、2020年1-12月等离子体刻蚀机进口量逐月数据图(单位:台)对2020年1-12月等离子体刻蚀机进口量逐月数据分析发现,并对比2019年同期数据可以明显看出,2020年等离子体刻蚀机进口数量明显有所增加,且逐月变化较为明显,其中2020年1月受国内新冠肺炎疫情影响,等离子体刻蚀机进口数量较去年有所下降,而则2~4月份迎来“报复性”增长,等离子体刻蚀机进口台数比去年同期多大约一半,5~7月每月进口数量与去年有所增长,但增幅有所下降,8月进口数量较去年同期有所下降,可能受国外新冠疫情影响,而9月进口量的大爆发可能是为了弥补8月份进口量不足的部分。10-11月平稳增加,但12月进口量再次下降,这可能来自于特朗普政府将中芯国际列入“实体清单”和冬季疫情反扑的多重影响。2019、2020年1-12月等离子体刻蚀机进口金额逐月数据图(单位:人民币/亿元)对2020年1-12月等离子体刻蚀机进口金额逐月数据分析发现,并对比2019年同期数据可以明显看出,除12月较去年进口金额有所下降以外,等离子体刻蚀机每月进口金额都较去年同期有所增加,其中,6、7、11月较去年增长幅度较小之外,但其他月份增幅明显。值得注意的是,9月进口额更是达到了去年同期的两倍以上,一个可能的原因是9月份台积电停止为华为代工芯片,华为大量订单转向国内代工厂生产,国内代工厂的扩大产能所导致。2019、2020年1-12月等离子体刻蚀机主要海关进口贸易伙伴数量(单位:台)2019、2020年1-12月等离子体刻蚀机主要海关进口贸易伙伴金额(单位:人民币/亿元)2020年1-12月等离子体刻蚀机海关进口贸易伙伴金额分布图根据海关数据,近两年我国主要从美国、日本、新加坡、韩国、中国台湾、马来西亚、英国以及德国等贸易伙伴进口等离子体刻蚀机。其中进口金额最高的前5个贸易伙伴分别是美国、日本、新加坡、韩国和中国台湾。从数据中可以看出,我国等离子体刻蚀机对美日依赖严重。2020年1-12月等离子体刻蚀机进口企业注册地数量分布(单位:台)2020年1-12月等离子体刻蚀机进口企业注册地金额分布(单位:人民币/亿元)通过海关进口等离子体刻蚀机的企业注册地数据,可以大致了解到进口等离子体刻蚀机在国内的“落脚地”。可以看出 ,2020年,江苏、上海、湖北、陕西等省市进口等离子体刻蚀机数量较多,而这些地区也是我国经济较发达,半导体相关行业比较发达的省份和地区。就海关进出口数据来看,等离子体刻蚀机在国内的市场潜力非常巨大,2020年尽管新冠疫情爆发给各行各业造成一定影响,但我国等离子体刻蚀机市场增长依然明显,但由于进口等离子体刻蚀机美日产品占据主流,受到美国贸易战影响较大,国内产线等离子体刻蚀机的“去美化”迫在眉睫。另一方面,由于国内掌握等离子体刻蚀机所涉及的核心零部件、研发人才等仍然相对较少,虽然在介质刻蚀机上的研究已逐渐达到国际先进水平,但难度较大的深硅等离子体刻蚀机的发展距美、日还有一定差距。同时,由于半导体设备企业与晶圆代工厂的工艺深度绑定,也使得等离子体刻蚀机为代表的半导体设备仍依赖进口,受制于人。不过,近年来随着以中微半导体、北方华创等国内等离子体刻蚀机厂商的崛起,国产刻蚀机在一定程度上也能满足部分企业的要求。未来,伴随着中美半导体产业的争夺和全面“去美化”的浪潮,等离子体刻蚀机的国内市场占有率将有望进一步提升。
  • 663万!华东师范大学反应离子束刻蚀系统、感应耦合等离子体增强化学气相沉积系统项目
    项目编号:0773-2240SHHW0019项目名称:华东师范大学反应离子束刻蚀系统、感应耦合等离子体增强化学气相沉积系统项目预算金额:663.0789000 万元(人民币)最高限价(如有):663.0789000 万元(人民币)采购需求:项目名称:华东师范大学反应离子束刻蚀系统、感应耦合等离子体增强化学气相沉积系统项目包件1:反应离子束刻蚀系统;数量及单位:1台;简要技术参数:3、等离子体源3.1、射频发生器:最大功率300瓦,13.56MHz,带自动匹配单元;★3.2、ICP源发生器:最大功率3000瓦,2.0MHz,带自动匹配单元;包件2:感应耦合等离子体增强化学气相沉积系统;数量及单位:1台;简要技术参数:★1、SiO2的标准沉积速率:≥40 nm/min;高速沉积速率:≥500 nm/min2、SiO2薄膜沉积厚度:≥6um。其余详见本项目招标文件。合同履行期限:自合同签订之日起250天内;本项目( 不接受 )联合体投标。
  • 等离子体刻蚀机、PVD和扫描电镜等创新成果亮相2024清华大学工程博士论坛!
    仪器信息网讯 2024年5月18-19日,2024清华大学国家卓越工程师学院春季工程博士论坛在北京亦庄举办。论坛围绕“先进装备”“大健康”“未来建设”“工业软件”“集成电路”“新能源”“综合交通”“大数据AI”“智能制造”九大产业集群要素开展主题沙龙。本次论坛作为又一次盛大的学术交流活动,现场展出了诸多优秀创新成果,仪器设备相关成果也位于其中,如“应用于集成电路制造的12英寸电感耦合等离子体刻蚀机和硅通孔(TSV)铜籽晶层物理气相成绩(PVD)设备”、“单束高通量扫描电镜及其跨尺度材料观测分析”。成果一:等离子体刻蚀机和硅通孔(TSV)铜籽晶层物理气相成绩(PVD)设备一、主要工程技术难点和创新性1、等离子体刻蚀机:先进脉冲射频脉冲等离子体产生和控制技术,实现多种等离子体参数的调控 先进的抗等离子体刻蚀的涂层技术实现纳米级别的颗粒环境调控,复合径向和角向联合小区域精确控温技术实现优异的整片关键尺寸均匀性,高精度终点检测技术(如光学发射光谱和光学相干光谱)识别精细刻蚀工艺过程中信号强度变化 双重/四重图形曝光工艺能力实现小线宽的图形控制等技术。2、TSV铜籽晶层物理气相沉积设备:高离化率磁控管技术、带有偏压的低温ESC技术 实现高深宽比下高台阶覆盖率沉积 实现优异的颗粒控制 二、工程应用价值和成熟程度目前研发的等离子刻蚀机,通过实现优异的双重/多重图形曝光、高介电常数介质/金属栅等刻蚀工艺形貌控制,适用于鳍式晶体管、多层3D NAND 闪存高密度DRAM内存等先进结构生成,广泛应用于国际主流逻辑、存储等芯片制造生产。TSV铜籽晶层物理气相沉积设备,已经广泛应用于逻辑,存储和先进封装芯片领域,能够实现较高深宽比的硅通孔铜籽晶层沉积,该设备已在多家客户端实现量产应用,代表国产金属薄膜沉积设备的较高水平。成果二:“单束高通量扫描电镜及其跨尺度材料观测分析”创新性的设计单束扫描电镜的电子光学系统:1、采用浸没式的电磁复合透镜保证1nA的落点电流下实现1.8nm@1keV的分辨率。2、采用位于透镜中的背散射(BSE)和二次电子(SE)探测器提高信号电子收集效率和图像信噪比,实现最短10nS/像素的驻留时间,即实现100M像素/秒的成像速度。工程博士论坛每年春季学期和秋季学期各举办一次,旨在加强工程领域的学术交流,激发工程博士生的创新思维,提高工程博士生解决复杂工程技术问题、进行工程技术创新、组织工程技术研究开发工作等能力,促进跨界交叉融合创新,不断扩大工程博士生在工程界、学术界和社会上的影响,推进产教融合,高起点、高质量地培养造就工程技术领军人才。工程博士论坛自2019年创办至今,已先后在北京、南通、深圳、成都、海盐、武汉、上海成功举办9届,经过几年不断地建设和发展,论坛活动成效和影响力不断扩大,逐渐打造成彰显清华特色的学术品牌。工程博士论坛网站:http://qhgbforum.ihaogo.com/index.htmlfor
  • 设备商、用户对话:刻蚀/沉积工艺如何助力“中国芯”——2018等离子技术应用研讨会侧记
    p    strong 仪器信息网讯 /strong 近来,中美贸易大战的背景下,“中国芯”成为热议话题,作为一个装备和工艺高度融合的产业,设计、制造、封测、材料设备等每个关键环节都对半导体的发展起着至关重要的作用。其中,以等离子技术为基础的刻蚀、沉积和生长等工艺设备,就是半导体各项最初设计得以实现的基础。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201805/insimg/aaf85acf-1392-40e4-a55c-58a5c78a206e.jpg" title=" 第01.jpg" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 研讨会现场 /span /p p   5月8日,作为刻蚀、沉积和生长等工艺设备知名供应商,牛津仪器公司在北京主办了“2018等离子技术应用研讨会”,会议邀请来自第三代半导体联盟、北京工业大学、中国科学院半导体所的科研用户专家,以及半导体生产企业的用户专家,从工艺设备用户与供应商不同角度,对等离子技术在半导体生产/研发中应用的最新进展及存在问题进行了交流探讨。会议间隙,仪器信息网编辑也与部分专家、牛津仪器高层就半导体研究进展等进行了简单交流。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201805/insimg/834c78ab-5016-4463-9193-04daa98cceba.jpg" title=" 第02.jpg" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 牛津仪器等离子技术部亚洲区销售和服务副总裁Ian Wright致辞 /span /p p    strong 关于研讨会:聚焦科研/生产热点——第三代半导体、VCSEL以及功率射频器件 /strong /p p   中国科学院半导体所研究员刘剑认为,从半导体发展历史来看,基础研究固然重要,但是市场对应用研究的影响也非常大。基于此,本次研讨会根据当下科研、工业需求热点,选择“宽禁带半导体”(或称为“第三代半导体”)作为主题,同时,报告内容也兼顾了时下工业应用热点——垂直腔面发射激光器(VCSEL)的相关研究。 /p p   研讨会由9个专家报告组成,报告内容主要包括第三代半导体现状与趋势、具有窄谱线和高光束质量的VCSEL介绍、VCSEL相关刻蚀和沉积技术、GaN基半导体电子器件研究进展、低损伤刻蚀和沉积技术等。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201805/insimg/a2860748-dcd3-42ef-a89f-991cf6935e47.jpg" title=" 第03.png" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 用户专家报告 /span /p p   (上至下,左至右:第三代半导体产业技术创新战略联盟秘书长 于坤山,北京工业大学教授 徐晨,中科院半导体所研究员 王晓亮,中科院半导体所研究员 王晓东,中科院半导体所研究员 张峰) /p p   会后,据刘剑介绍,他本人与牛津仪器已经有多年的合作,近十年前与牛津仪器共同举办了第一届等离子体研讨会,后续几乎每一届的研讨会也都协助举办。他认为,作为科研用户,通过参与这种形式会议,既增进了与仪器设备企业之间的交流,也可以现场讨论一些技术问题。对于半导体生产企业用户,他们多数会有自己的研发,尤其是一些先进的器件、模块,而研讨会中探讨的一些工艺解决方案,就可以为他们的研究提供帮助。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201805/insimg/3afa8c17-c9a1-41cb-829b-6450e1228d21.jpg" title=" 第4.png" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 牛津仪器应用专家报告 /span /p p style=" text-align: center " (左至右:牛津仪器Stephanie Baclet博士,杨小鹏博士,黄承扬博士) /p p    strong 我国半导体研究现状、热点如何?牛津仪器关注哪些热点? /strong /p p   关于当下半导体相关领域研究进展或研究热点,刘剑表示:“我之前研究领域主要在III-V族半导体材料,但最近又开始回归到传统半导体硅材料,当然也会涉猎部分III-V族半导体材料。从目前来看,类似我们这样的科研工作者,不太容易区分大家具体是做什么材料体系,基本是受一个学科进展的牵引或个人的兴趣,基于不同的材料在做相近的科研。而关于研究热点,其实这次研讨会主题内容中的第三代半导体以及垂直腔面发射激光器(VCSEL)都是当下大家比较关注的。值得一提的是,VCSEL并不是一个新的研究领域,相关研究也有多年的历史,但就是因为IphoneX用了这种3D图像技术之后,VCSEL才重新进入到大众视野。这也成为工业应用热点再次推动了相关科研的一个实例。” /p p   中国科学院半导体所研究员张峰介绍说:“我是研究碳化硅的,领域是宽禁带半导体。因为我们国家对宽禁带半导体的布局比较早,包括碳化硅衬底材料、外延材料、器件,还有最后的封装,所以相比传统半导体领域,与世界的差距并没有那么大,也就是2-3年的时间。因此这个领域在未来五到十年内,我们国家有很大希望能够迎头赶上,甚至在某些方面可以达到世界一流的水平。”关于半导体研究热点,他认为:‘从“中兴之痛”事件我们可以看到,半导体研究或关注的热点主要是极大规模集成电路方面,在14纳米、7纳米及5纳米这些制程方面的一个进展。也就是说我们国家在这个方面跟国际的差距还比较大,目前我们实现量产是28纳米,我们希望未来能向14纳米、7纳米及5纳米靠近。但这需要产业链整体的提高,包括我们的设备、设计、器件制作工艺,及最后的封装等各个方面,这样才能够跟得上世界的发展。目前半导体研究热点主要是在设计及工艺制备这两方面。工艺制备方面又跟设备很相关,所以说这些都是紧密相连的。’ /p p   牛津仪器的刻蚀、沉积、生长等相关设备及工艺解决方案在中国半导体领域的市场占有率较高,且拥有广泛的科研及生产企业用户群。设备厂商在前沿热点把控上,在时刻保持对用户最新需求的关注基础上,职业敏锐性往往赋予他们自己的优势。那么,牛津仪器又对哪些半导体领域的热点保持关注呢?牛津仪器等离子技术部亚洲区销售和服务副总裁Ian Wright表示:“牛津仪器接下来的关注重点,不光是那些具有研发创新能力但处于初期发展阶段的企业,我们更感兴趣的是那些已经成熟的解决方案,这需要更多更稳定的设备把之前好的工艺过程重复出来。对于我们关注的产业领域,主要有两个,第一是光电子领域,比如一些手机的3D面部识别功能,这个功能其实是运用到了我们VCSEL工艺,这个工艺还可以用在无人驾驶汽车的智能测距(测距机理即安装的各种光电传感器,通过各种光电传感器件的协同合作来实现自动驾驶功能)。第二个领域是5G信号网络,该领域会用到一些比较先进的光电子、功率器件,比如,之前的功率器件是基于硅,第二代是基于砷化镓,第三代是氮化镓和碳化硅工艺,牛津仪器是从第一代到三代全覆盖的,当然我们正在着手研究更先进的第四代、第五代半导体,如氧化镓、金刚石等。” /p p    strong 用户与设备商协同发展,用户怎么看?牛津仪器怎么看? /strong /p p   在半导体领域,工艺设备对科研或企业生产是至关重要的。张峰认为:“工艺设备是一个基础,如果没有工艺设备,我们设计的东西就没办法实现,但是我们国家在这方面实际上是跟世界有一些差距的,80%-90%工艺设备需要进口。所以,工艺设备方面,我们希望与像牛津仪器这样的国外优秀厂商合作,学习他们的先进技术及经验,使我们国家逐渐掌握工艺设备的研发及生产能力。另外,从科研用户角度讲,我们也有很好的合作。我们会及时向牛津仪器反馈一些最新的需求,比如我们做氮化镓,碳化硅的时候,需要让刻蚀设备刻蚀的更精密一些(如今天会上牛津仪器介绍的原子层刻蚀技术),还有就是在原子层刻蚀与传统等离子体刻蚀结合的需求等。当然,牛津仪器也在不断努力配合我们的需求。”关于如何实现用户与设备供应商更好的合作,张峰表示:“客户可以首先提出一些需求、提供一些样品,让设备厂商提供一些解决方案,及刻蚀的结果 另外,希望设备厂商针对客户提出的新需求,如定制化的需求等,能够积极的满足。” /p p   刘剑补充道:“从科研用户来讲,与生产用户不同的是,我们往往会提出一些特殊的需求。我们主要希望设备企业的工艺设备能够稳定,并能获得我们所需的实验结果。牛津仪器会和用户一起来开发新的工艺,接受客户提出的部分特殊需求,去单独开发一套工艺,然后结合设备一起提供给客户,这对客户研究过程中一些特殊情况是有很大帮助的。” /p p   Ian Wright对两位老师的看法表示赞同,并表示:“总结来看,用户对我们提出的需求主要有三个方面:第一是希望我们能够把牛津仪器一些成熟的解决方案尽快的提供给他们 第二就是他们提出一些特殊需求,我们如果没有一个对应方案的话,能够配合他们一起去解决 第三,售后服务保障,作为一个合格的生产先进器件厂商,并不是说你有了一台先进的工艺设备放在那里就可以没有后顾之忧,接下来的售后服务能力也对你之后的企业发展有很重要的影响。比如设备一旦出现故障,多长时间可以解决 需要一个备件,又需要多长时间可以提供,也是客户衡量设备供应商的一个标准。在此,我敢肯定的是,牛津仪器有能力也愿意在刚才提到的三方面需求全方位与客户合作,解决客户从售前到售后的后顾之忧。” /p p   “牛津仪器走进中国市场已经20余年,但等离子技术部门的大部分精力放在了高校院所科研用户上。为满足更广泛用户的需求,我们决定将工作重心逐渐向技术非常成熟的生产企业用户转移,增强深入合作,通过我们的设备及工艺再加上科研用户的技术来孵化出更多更新的成果。” Ian Wright继续说道。 /p p   牛津仪器等离子技术部中国区经理陈伟表示:“中国从过去的能源依赖,发展到现在成为芯片依赖社会形态,包括在各个国家国际环境的变化,都逐渐把矛盾转移到芯片研究上来。许多人认为这是一个危机,但我认为这对我们国家、对我们设备供应商都是一个机遇。现在中国在大力推广自己的芯片产业,这个过程,就需要像牛津仪器这样能够提供优秀设备、解决方案的公司来一起合作,把最新的芯片用最短时间开发出来,这样中国就不必再受制于人。”关于中国市场,他表示:“中国始终是牛津仪器十分重视的市场所在,公司也愿意投入更多的财力、物力到中国市场上来,接下来,牛津仪器将加强与用户的合作。如我们现在正在和一些客户讨论,以共建实验室的方法,来让客户在这方面有更快的突破,帮助一些有潜力客户实现量产。另外,如Ian Wright所说我们更加重视科研客户的同时,对于生产企业客户,我们也会不断加大服务力度,比如,近两年我们相关的售后服务团队就增加了一倍。” /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201805/insimg/418b86a0-2820-4b0c-98ac-4ca3401df3ef.gif" title=" 第05.gif" / /p p style=" text-align: center " span style=" color: rgb(0, 0, 0) " (右一:牛津仪器等离子技术部亚洲区销售和服务副总裁Ian Wright /span /p p style=" text-align: center " span style=" color: rgb(0, 0, 0) " 右二:牛津仪器等离子技术部中国区经理陈伟) /span /p
  • 第四场研讨会 | 如何结合等离子FIB刻蚀和激光烧蚀,更高效完成毫米级半导体失效分析
    主题:Faster mm-scale Semiconductor Failure Analysis byCombining Plasma FIB Milling and Laser Ablation 演讲人:Jozef Vincenc Obona 博士Jozef Vincenc Obona 是TESCAN ORSAY HOLDING公司半导体市场部的产品营销总监,获得Slovak Academy of Sciences (Slovakia) 低温电子学博士学位。他有多年从事半导体失效分析(生产线前端、后端和封装应用)的经验,并与半导体行业领袖一直保持沟通。他在FIB-SEM方面拥有超过13年的工作经验,在西班牙萨拉戈萨的阿拉贡纳米科学研究院(Instituto de Nanociencia de Aragon)、荷兰格罗宁根大学(University of Groningen)以及特温特大学(University of Twente)进行了5年的超短激光脉冲处理应用研究,拥有3项专利并发表了52篇论文。时间段1:3月24日, 下午4:00 –5:00 (北京时间)时间段2:3月25日, 上午2:00 –3:00 (北京时间)长期以来,提高性能和降低功耗是电子器件设计的基本要求,这需要通过器件构件(晶体管、存储单元等)的小型化、信号通路的减少(将多个组件集成在一个先进封装中)以及优化其它组件(包括显示器、射频、微机电系统和电池)来实现。开发新产品是一件非常具有挑战性的工作,快速失效分析(FA)有助于确定缺陷的基本原因并向研发人员提供有效的反馈,以保证产品的上市时间和可靠性。对封装、先进封装、显示器、射频、微机电系统以及电池进行快速失效分析时,往往需要在样品表面以下几百微米甚至于几毫米寻找缺陷位置。由于样品结构的特殊性,需要对样品进行大面积的刻蚀以制备出截面才能够对特定的缺陷位置进行分析。因此,近10年来等离子FIB被普遍使用在这个过程中并受到了行业的广泛认可。然而,近年来随着器件结构越趋复杂、缺陷深度显著增加以及必须更快速获得分析结果等原因,对等离子FIB的能力提出了更高的要求。使用激光烧蚀可以将前期制样速度提升数千倍,因此将激光烧蚀技术加入到等离子FIB工作流程中不仅可以更快获得高质量的分析结果,同时也开启与实验室中不同类型设备协同合作的新篇章。在本次研讨会上,将为您介绍 TESCAN 样品大体积制备的工作流程。使用不同尺寸的要求苛刻的样品进行演示,样品包括复杂器件和不导电硬质材料,您可以看到非常灵活的工作流程。我们将为您展示如何结合超高分辨扫描电镜成像系统快速进行没有伪影的样品制备并揭示样品的真实细节。点击“我要报名”立即报名参会吧!说明:为了让更多的用户可以参与到本次研讨会中,每一场研讨会都有两个时间段可供选,内容相同,与会者可自行选择报名参加其中一个时间段的研讨会。
  • 等离子体技术助力第三代半导体刻蚀、抛光等工艺——访牛津仪器黄承扬
    2023年6月29日,半导体和电子行业年度盛会SEMICON China 2023在上海新国际博览中心隆重举行。展会现场,牛津仪器携第三代半导体抛光、刻蚀、检测等系列解决方案亮相展会。展会期间,仪器信息网就参会感受、解决方案、行业发展趋势等话题采访了牛津仪器等离子技术部制程技术与业务拓展经理黄承扬。以下是现场采访视频:
  • 石墨烯等离子超介质可使药检达单分子水平
    据物理学家组织网1月14日(北京时间)报道,一个由英国曼彻斯特大学和法国艾克斯—马赛大学人员组成的研究小组,开发出一种新型的等离子超介质探测设备,利用了奇点光学中超常相位拓扑的性质,能通过简单的光学系统就看到单个分子,并在几分钟内分析出它的成分,药物检测精确度提高了3个数量级,可用于人体药检、机场安检、爆炸物探测等。相关论文发表在最近出版的《自然材料》上。   “该设备的总体设想是要通过一种简单的光学系统,如显微镜,来看到单个分子,真实地看到它们。”领导该研究的萨沙格里乔科说。他提出了一种新的传感设备:一种具有黑暗拓扑性的人造材料。这种设备极其灵敏,而其灵敏性是来自它的光相位拓扑性能,即使附着一个小分子也能引起反应。   奇点相位的超常性质是研究许多重要物理现象的关键,通过控制光相位,人们能造出“扭曲的”光子流,如光涡流结 打断相位使之分离,就会产生奇点光场。而等离子超介质经过恰当设计就会显出一种拓扑性,从而在其附近产生突然的相位改变。利用这一性质能造出一种等离子共振传感器,从根本上提高探测的灵敏度。   为了测试该设备,研究人员给一种等离子超介质涂了一层石墨烯,然后将氢气导入石墨烯上面,利用可逆的石墨烯氢化反应来测试其灵敏度。“石墨烯是用于检测分子灵敏性的最佳材料之一,可以很容易地把氢分子以可控的方式附着在上面。”格里乔科说,他们证明了该设备能探测到单个生物分子水平。通过验血可以检测人体内的毒素或药物,几分钟就能出结果,精确度比现有设备高出3个数量级。   研究人员指出,这一概念性论证结果提供了一种更简单的、可升级的单分子免标记生物感测技术,使药物检测更加快捷精确,可用于检查运动员是否服用了违禁药物以及机场或机密要地的安检,预防恐怖分子藏匿爆炸物、不法商贩走私药物等,还可能探测人们感染了哪种病毒。   格里乔科说,奇点光学是一门新兴学科,研究的是光在超常相位的性质,他们的成果显示了这一学科在实际应用方面的巨大价值。这只是个开始,它可能对药物与病毒探测、安全检查等产生深远影响。   总编辑圈点:   童话《豌豆公主》里,隔着十二张床垫和二十张鸭绒被,公主仍然能感觉出一颗豌豆的凹凸。英、法科学家开发的新技术,让仪器也具备了这样的灵敏度——增加一个分子,仪器就能察觉出“凹凸”。超级材料石墨烯,相当于一张平滑的床垫,为检测提供了纯净的光学背景,使微小形状的干扰也变得很显著。依靠新开发的这种精密探测手段,今后的医学检测可能不必借助生化试剂,直接“看”到病毒的模样,这将大大方便医生的快速诊断。
  • 如何打造全球领先的刻蚀机大厂?
    9月15日,中微半导体设备(上海)有限公司创始人、董事长、总经理尹志尧在公开演讲中探讨如何打造高质量、有竞争力的半导体设备公司时,表示目前半导体公司的设备主要可以分为四大类,光刻机、等离子体刻蚀机、薄膜设备、测试设备。以刻蚀机设备为例,等离子体刻蚀设备市场成长迅速,目前年市场规模超过120亿美元。并且等离子体刻蚀设备已经工厂中投入最大的部分,已经占到工厂设备成本的30%以上。尹志尧提到一定要将更大力度推动和发展半导体微观加工设备产业提到日程上来,半导体设备公司不仅是集成电路制造的供应商和产业链,也是集成电路制造的最核心部分。而大国博弈在经高科技战线上,集中在半导体设备和关键零部件的限制上。当前中微半导体开发的四类设备均达到了国际领先水平,如CCP电容性刻蚀机、ICP电感型刻蚀机、深硅刻蚀机、MOCVCD。其中,中微开发的第三代CCP高能等离子体刻蚀机,已经从过去的20:1发展到如今的60:1极高深宽比细孔。并且中微CCP刻蚀机在台湾领先的晶圆厂和存储厂,已经占据三成市场份额。中微的MOCVD设备在国际氮化镓基MOCVD市场占有率已在2018年第四季度已经达到了70%以上。尹志尧表示,十年来中国有54个公司和研究所曾宣布开发MOCVD设备,但目前只有中微一家成功,并且已经实现稳定的量产。多年来中微的MOCVD设备不断提高蓝绿光LED波长均匀性,目前LED波长片内均匀性已经做到0.71nm。如何将中微半导体做大做强,尹志尧表示中微以“四个十大”为中心,总结17年的经验与教训,继续发展科创企业的管理章法,其中包括:中微产品开发的十大原则;中微战略和商务的十大原则;中微运营管理的十大原则;中微精神文化的十大原则。在开发产品上,尹志尧表示不要老跟着外国人的设计,这样很难做出自己独有的产品,因此中微提出了甚高频去耦合反应离子体刻蚀,让高频、低频都在下电极,当前该技术已经具备一定优势。此外,中微公司还开发了CCP单台机和双台机,ICP单台机和双台机,可以覆盖90%的刻蚀应用,不仅在成本上降低30%,效率上也提升了50%。战略上,中微将通过三维成长(集成电路设备、泛半导体设备、非半导体设备),计划在未来10到15年成为国际一流的微观加工设备公司。公司运营管理上,中微通过运营KPI管理不断提升质量管理水平。截至2021年6月份,中微已经申请了1883个专利,并已获得1115个专利。尹志尧表示,尽管中微在知识产权上已经做得很全面,但也受到多次美国公司对中微发起的专利诉讼,有三次是美国公司对中微提起诉讼,一次是中微对美国公司发起的诉讼。值得注意的是,在专利诉讼中,两次获得了完全胜利,另外两次也在较大优势下达成和解。中微公司在等离子体刻蚀机的技术优势,也让美国在2015年取消了对中国的出口控制,而中微的相关产品出口环境也变得极为宽松。值得注意的是,中微实施了员工期权激励和全员持股的模式,认为这是高科技公司发展的生命线,也是社会主义集体所有制的核心。尹志尧认为,企业价格由投入的股本金带来和劳动创造的价值两部分组成,但公司80%的市值由劳动力创造。不忘初心,就是回到“资本论”,就是要解决剩余价格的合理分配问题。通过期权和股权将员工长期利益和企业绑定,使更多员工参加公司,使员工积极为公司工作,全员持股是中微赖以生存和发展的生命线。尹志尧提到,自己仅占公司1%的股份,但这并不意味着就无法将公司做好。让公司做大做强,要做到强群的总能量最大化和净能量最大化,总能量最大化即使所有阶层和所有部门人们的积极性群都发挥出来,净能量最大化即怎样使各个阶层和各个部门的能量不会在内耗中消失。最后,尹志尧表示,一家公司从初创公司做到成功,公司的文化和作风是主要应随,要建立一直领先的百年老店,初创时期,首先要有过硬的技术产品,到了大公司时期要有足够的运营能力,做到领头公司,则需要看公司的文化作风。
  • 企业成半导体刻蚀设备采购主力——半导体仪器设备中标市场盘点系列之刻蚀设备篇
    刻蚀技术,是在半导体工艺,按照掩模图形或设计要求对半导体衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离的技术。刻蚀技术不仅是半导体器件和集成电路的基本制造工艺,而且还应用于薄膜电路、印刷电路和其他微细图形的加工。刻蚀还可分为湿法刻蚀和干法刻蚀,相对应的设备分别为干法刻蚀设备和湿法刻蚀设备,其中干法刻蚀设备绝大部分为等离子体刻蚀。仪器信息网近期特对一年内的刻蚀设备的中标讯息整理分析,供广大仪器用户参考。(注:本文搜集信息全部来源于网络公开招投标平台,不完全统计分析仅供读者参考。)各月中标量占比2019年10月至2020年9月,根据统计数据,刻蚀设备的总中标数量为208台,涉及金额上亿元。2019年10月至2019年12月,平均中标量约22台每月。2020年3月份,刻蚀设备采购量降至低谷,1-3月份平均采购量只有11台,3月份只有6台,这可能是受到了疫情的影响。值得注意的是,这些刻蚀设备的采购主要来源于半导体代工企业大量集中的产线建设采购,这也造成了周期性的采购波动。主要的采购单位包括了上海华力集成电路制造有限公司、华虹半导体(无锡)有限公司、上海华虹宏力半导体制造有限公司等集成电路代工企业,与此同时一些3月份以前招标的设备由于疫情也推迟到3月份之后公布中标。招标单位地区分布本次盘点,招标单位地区分布共涉及19个省份、自治区及直辖市。上海、北京、浙江、江苏和广东为刻蚀设备采购排名前5的地区,其中上海的中标量最多,达49台。在这些地区中,上海、浙江和江苏以企业采购为主,这主要由于这些地区是我国集成电路产业发达地区;北京和广东以高校和科研院所采购为主,主要用于科研领域。采购单位性质分布从刻蚀设备的招标采购单位来看,企业是采购的主力军,采购量占比高达59%,高校和科研院所的采购量分别占比20%和21%。值得注意的是,Lam Research International Sarl的设备更受企业青睐,中标数量高达35台,远超其他设备商。不同类型刻蚀设备占比刻蚀设备大致包括了干法刻蚀和湿法刻蚀两类,根据搜集到的中标数据可知,干法刻蚀设备在半导体刻蚀设备中占据主流、占比高达95%。硅干法刻蚀即等离子体刻蚀技术,相对于湿法刻蚀,具有更好的各向异性,工艺重复性,且能降低晶圆污染几率,因此成为了亚微米下制备半导体器件最主要的刻蚀方法。随着亚微米下制备半导体器件需求的增加,硅干法刻蚀技术也显得越来越重要。【参考文献:王晓东:干法刻蚀引领半导体微纳加工】本次光刻设备中标盘点,涉及品牌有SPTS、SCREEN.、AMAT、Oxford、北方华创、Lam Research、WONIK IPS、Tokyo Electron Limited、中微半导体、卡尔蔡司等。其中,各品牌比较受欢迎的产品型号有:牛津仪器PlasmaPro 100 Polaris单晶圆刻蚀系统PlasmaPro 100 Polaris单晶圆刻蚀系统为得到更为精湛的刻蚀效果提供了智能解决方案,在行业中能保持竞争优势。同时,这款仪器具有高效的刻蚀速率、低购置成本、专为腐蚀性的化学成分而设计、出色的刻蚀均匀性、适用于蓝宝石的静电压盘技术、蓝宝石和硅上的GaN、高导通抽气系统、可与其它PlasmaPro系统集成等优点。SPTS深硅刻蚀设备SPTS作为世界顶尖的深硅刻蚀和牺牲层刻蚀设备的供应商,SPTS能够提供一系列的解决方案来满足客户的生产和开发要求。通过一系列的技术的开发,SPTS能为客户提供一系列的先进的工艺,比如功率MOSFET和200mm和300mm晶圆上的高端封装(3D封装和芯片级封装)。这款深硅刻蚀设备的主要应用包括: MEMS,先进封装(TSV),功率器件等等。等离子刻蚀机经济型等离子刻蚀设备EtchLab 200具备 低成本效益高的特点,并且支持揭盖直接 放置样片。EtchLab 200允许通过载片器,实现多片工艺样品的快速装载,也可以直接快速地把样品装载在电极上。RIE等离子体刻蚀设备具备占地面积小, 模块化和灵活性等设计特点。点击此处进入【等离子体/化学刻蚀设备】专场,获取更多产品信息。更多市场信息,查看专题【半导体材料、器件与设备_专题报道】更多资讯请扫描下方二维码,关注【材料说】
  • 中微公司:已开发出小于5纳米刻蚀设备,刻蚀设备收入增长58.49%
    3月31日消息,昨日中微公司发布其2020年年报,报告期内,中微公司实现营业收入22.73亿元,较上年增长16.76%。归属于上市公司股东的净利润4.92亿元,同比增长161.02%。扣非净利润2331.94万元,同比减少84.19%。中微公司在年报中表示,2020年归母净利润实现翻倍增长主要源于:(1)中芯国际科创板股票投资公允价值变动收益约2.62亿元;(2)公司2020年计入非经常性损益的政府补助较2019 年增加约2.26亿元。而该年扣非净利润较上年同期减少84.19%,则是由于实施股权激励产生的股份支付费用约1.24亿元(属于经常性损益)。图片来源:中微公司年报截图从营收构成来看,中微公司来自半导体设备产品销售的收入达到17.99亿元,来源于设备相关配件的营收为4.42亿元,而设备支持服务的收入则为0.33亿元。产品销售中源于刻蚀设备的收入为12.89亿元,同比增长约58.49%;源于MOCVD设备的收入为4.96亿元,同比下降约34.47%。图片来源:中微公司年报截图在年报中,中微公司就刻蚀技术的未来发展作出了分析。分析指出随着芯片制程向5纳米及更先进制程发展,当前浸没式光刻机受光波长的限制,需要结合刻蚀和薄膜设备,采用多重模板工艺,利用刻蚀工艺实现更小的尺寸。刻蚀技术及相关设备的重要性因此进一步提升。而在2D存储器件的线宽接近物理极限后,NAND闪存已进入3D时代,在其制造工艺中,增加集成度的主要方法不再是缩小单层上线宽而是增加堆叠层数。3D NAND层数增加要求刻蚀技术实现更高的深宽比。中微公司指出,为应对上述趋势,自身在刻蚀设备技术上的研发进展包括:(1)在逻辑集成电路制造环节,其开发的12英寸高端刻蚀设备已运用在国际知名客户65 纳米到5纳米的芯片生产线上;同时,其根据厂商的需求,已开发出小于5纳米刻蚀设备,用于若干关键步骤的加工,并已获得批量订单。目前正在配合客户需求,开发新一代刻蚀设备和包括更先进大马士革在内的刻蚀工艺,能够涵盖5纳米以下更多刻蚀需求和更多不同关键应用的设备。(2)在3D NAND芯片制造环节,其电容性等离子体刻蚀设备可应用于64层和128层的量产,同时根据存储器厂商的需求正在开发新一代能够涵盖128层及以上刻蚀应用及相对应的极高深宽比的刻蚀设备和工艺。此外,电感性等离子刻蚀设备已经在多个逻辑芯片和存储芯片厂商的生产线上量产,正在进行新技术研发,以满足5纳米以下的逻辑芯片、1X纳米的DRAM芯片和128层以上的3D NAND芯片等产品的ICP刻蚀需求,并进行高产出的ICP刻蚀设备研发。在用于制造LED外延片的MOCVD设备技术上,中微公司表示,其用于Mini LED生产的MOCVD设备的研发工作进展顺利,已有设备在领先客户端开始进行生产验证;此外,制造Micro LED等应用的新型MOCVD设备也正在开发中。中微公司在年报中称,去年全年其研发投入总额为6.40亿元,其中包含股份支付费用0.49亿元。若剔除股份支付费用则全年研发投入为5.91亿元,较2019年增长39.16%,主要由于新工艺的研发,包括存储器刻蚀的CCP和ICP刻蚀设备、Mini-LED大规模生产的高输出量MOCVD设备、Micro-LED应用的新型MOCVD设备等。
  • 全国共享刻蚀设备盘点:牛津第一!
    自美国提出终断该国企业与华为多年的芯片供应以来,研制中国自己的国产芯片提上了我国的发展日程,也是当前中国市场最为紧迫的一项技术,关于芯片技术发展的讨论不仅在专业领域盛行,也成为了普通民众议论的焦点所在。而芯片的制造离不开半导体设备,其中刻蚀设备是其中的重中之重。据了解,目前我国已经突破了刻蚀设备的技术难关,其中中微公司的5nm刻蚀设备已成功销往海外,更是进入台积电的生产线。如今最先进的芯片制造主要使用干法刻蚀技术,干法刻蚀相对于湿法刻蚀,具有更好的各向异性,工艺重复性,且能降低晶圆污染几率,因此成为了亚微米下制备半导体器件最主要的刻蚀方法。干法刻蚀的物理机制,主要包括物理溅射刻蚀、纯化学刻蚀、化学离子增强刻蚀和侧壁抑制刻蚀等。目前我国在生产用刻蚀设备领域已经逐渐实现市场上的突破,但一直以来,对科研用刻蚀设备情况缺乏调查。1月22日,科技部和财政部联合发布《科技部 财政部关于开展2021年度国家科技基础条件资源调查工作的通知(国科发基〔2020〕342号)》,全国众多高校和科研院所将各种科学仪器上传共享,对其中干法刻蚀设备的统计分析或可一定程度反映科研用刻蚀设备的市场信息。小编特对其进行分类统计,供读者一阅。各省(直辖市/自治区)干法设备分布各省(直辖市/自治区)干法刻蚀设备分布图根据统计数据,共享干法刻蚀设备的总数量为276台,涉及25省(直辖市/自治区)。北京、江苏、上海、广东为共享干法刻蚀设备最多的地区,其中北京的数量最多,达81台。北京共享科研用干法刻蚀设备数量较多,主要是由于其实力强劲的高等院校较多,其科研经费充足,可以购买更多的设备。以上四个地区的经济发展水平在全国名列前茅,而且半导体产业发达,对干法刻蚀设备的需求也更高。进一步统计发现,刻蚀设备主要分布于清华大学和中国科学院上海微系统与信息技术研究所。刻蚀设备品牌分布从刻蚀设备的整体品牌分布图可以看到,英国Oxford的刻蚀设备占比最多达22%,其次为德国SENTECH占比为7%,远低于Oxford的市场份额。需要注意的是,北方华创科技集团股份有限公司(简称“北方华创”)是由北京七星华创电子股份有限公司(简称“七星电子”)和北京北方微电子基地设备工艺研究中心有限责任公司(简称“北方微电子”)战略重组而成,是目前国内集成电路高端工艺装备的先进企业,因此在统计中将北方微电子和北方华创归为一家企业。从统计结果来看,国内科研用刻蚀设备中,全球刻蚀设备巨头Lam、AMAT等占比很低,这表明工业用刻蚀设备和科研用刻蚀设备的需求不同,厂商也有所不同。刻蚀设备产地分布从刻蚀设备的产地分布可以看出,进口设备中英国设备最受国内科研用户青睐,占比达30%,但国产设备占比31%(含台湾),高于英国进口数量。统计结果表明,中国品牌包括了北方华创、中国科学院微电子研究所等约20家厂商,呈现出多强局面。本次刻蚀设备盘点中,涉及品牌有Oxford、SENTECH、北京创世维纳科技有限公司、Samco、北方华创 、北京金盛微纳科技公司、北京埃德万斯离子束技术研究所有限公司、SPTS、Gatan、北京泰龙电子技术有限公司、STS、Lam等。其中,各品牌比较受欢迎的产品型号有:等离子刻蚀机高端等离子刻蚀设备SI 500使用低离子; 能量的电感耦合等离子体用于低损伤刻: 蚀和纳米结构刻蚀。通过在广泛的温度: 范围内的动态温度控制确保了可重复且稳定的等离子刻蚀条件。深反应等离子;刻蚀(硅,III-V族半导体,MEMS)可: 采用低温工艺和室温下的气体切换工艺: 来实现。经济型等离子刻蚀设备EtchLab 200具备 低成本效益高的特点,并且支持揭盖直接 放置样片。EtchLab 200允许通过载片 器,实现多片工艺样品的快速装载,也可 以直接快速地把样品装载在电极上。RIE等离子体刻蚀设备具备占地面积小, 模块化和灵活性等设计特点.牛津Oxford等离子体刻蚀机PlasmaPro 80 RIEPlasmaPro 80是一种结构紧凑、小尺寸且使用方便的直开式系统,可以提供多种刻蚀和沉积的解决方案。 它易于放置,便于使用,且能确保工艺性能。直开式设计可实现快速晶圆装卸,是研究和小批量生产的理想选择。 它通过优化的电极冷却和出色的衬底温度控制来实现高质量的工艺。这款设备具有直开式设计允许快速装卸晶圆、出色的刻蚀控制和速率测定、出色的晶圆温度均匀性,可应用于 III-V族材料刻蚀工艺、硅 Bosch和超低温刻蚀工艺、类金刚石(DLC)沉积、二氧化硅和石英刻蚀、用特殊配置的PlasmaPro FA设备进行失效分析的干法刻蚀解剖工艺,可处理封装好的芯片, 裸晶片,以及200mm晶圆、用于高亮度LED生产的硬掩模的刻蚀。
  • 中科院物理所率先实现基于石墨烯的各向异性刻蚀技术
    最近,中国科学院物理研究所/北京凝聚态物理国家实验室(筹)张广宇研究组与高鸿钧研究组、王恩哥研究组合作,利用自制的远程电感耦合等离子体系统,首次成功实现了石墨烯的可控各向异性刻蚀。这种基于石墨烯的各向异性刻蚀技术是我国科学家在该研究领域中独具特色的工作,相关结果发表在【Advanced Materials (2010)】,并得到了审稿人的高度评价。   石墨烯(graphene),是继富勒烯、碳纳米管之后被科学家们发现的又一种新的碳元素结构形态。作为一种室温下稳定存在的二维量子体系,石墨烯打破了凝聚态物理的理论,推翻了人们以前普遍接受的严格的二维晶体无法在有限的温度下存在的科学预言,对凝聚态物理的发展产生了重大的影响。不仅如此,石墨烯表现出来的一系列独特的电学输运特性、光学耦合和其他新奇的物理特性,以及利于剪裁加工的二维特性,使其在分子电子学、微纳米器件、超高速计算机芯片、高转换效率电池、固态气敏传感器、太赫兹学等领域可能有重要的应用前景。   然而,由于石墨烯的导带与价带之间没有能隙,做成晶体管器件时,很难实现开关特性,而且若要运用于现在普遍使用的逻辑电路,其金属性也是一个巨大的难题。如何在石墨烯中引入能隙,成为人们关注的热点问题,这也为石墨烯的制备提出了新的挑战。一般引入能隙的手段主要有:(1) 利用对称性破缺场或相互作用等使朗道能级发生劈裂,在导带与价带之间引入能隙。这主要通过掺杂、外加电场、化学势场等方式在双层石墨烯中引入对称破缺,实现人工调制能隙。(2) 利用量子限域效应和边缘效应,通过形成石墨烯纳米结构(如 nanoribbons纳米带)引入能隙,通过调节带宽,可以实现对带隙宽度的调节。(3) 利用化学气相沉积法掺杂(如B、N等)产生能隙,通过调节掺杂程度可实现对能隙的调节。(4)利用基底作用诱导(如SiC基底上的外延石墨烯)产生能隙,通过调节基底的作用程度可实现对能隙的调节。此前,张广宇研究组与高鸿钧研究组和陈小龙研究组合作,利用拉曼光谱学的手段,系统地研究了外延石墨烯与碳化硅基底之间的电荷转移机制,为未来这类样品制作电子学器件提供了技术参考依据。相关结果发表在【J. Appl. Phys. 107, 034305, (2010)】。   基于已有的实验结果,大家一致认为这四种方法中最可行、最具应用价值的当属石墨烯的纳米结构。目前,石墨烯纳米结构的制备技术和电学性能的研究都有飞速的发展,但要实现大规模集成石墨烯纳米结构器件的应用,如何利用现有的微纳加工技术获得边缘可控的石墨烯纳米结构是亟待解决的难题。虽然国际上已有少数研究组利用金属粒子催化加氢反应或利用SiO2衬底与石墨烯的选择性反应来实现石墨烯选择性的各向异性刻蚀,但这些方法的刻蚀速率不可控,刻蚀取向不确定,且无法与传统的微纳加工技术兼容,从而无法实现石墨烯纳米结构器件的集成加工。   张广宇等人此次实现的这种基于氢等离子体的干法刻蚀技术受等离子体强度和样品温度的调控,刻蚀速率可以精确控制在几个nm/min,且不会引入新的缺陷。由于石墨烯特殊的六角对称性,这种方法可以得到近原子级规则的Zigzag边缘结构。他们还利用这种干法刻蚀技术结合电子束光刻技术首次实现了对石墨烯纳米结构的精确加工和剪裁。这种技术的优势在于可以对石墨烯结构进行原子级尺度加工和对于石墨烯质量的保持性。这种可以沿固定晶向,得到固定的边缘结构的加工剪裁石墨烯的技术是传统技术所无法实现的,为未来大规模精确控制、加工具有确定晶向和边缘结构的石墨烯纳米结构奠定了技术基础。   这项工作得到了中科院“百人计划”、国家自然科学基金和“973”项目的支持。      图1新鲜解理的石墨(a)表面光滑台阶清晰可见,不同功率。(b)50W和(c)100W氢等离子体刻蚀过的石墨表面,显示出了形状规则的正六边形孔。(d)刻蚀速率随温度的变化关系。(e)刻蚀速率随时间的变化关系,证明刻蚀速率可精确稳定的控制在几纳米/分钟。      图2 同样的各向异性刻蚀效应在机械剥离的石墨烯中也如此。氢等离子体刻蚀过的单层(a)、两层(b)及多层(c)石墨烯,正六边形孔洞清晰的形成于缺陷处。(d)单层及两层石墨烯刻蚀速率随温度的变化关系。(e)拉曼光谱表征,几乎看不到代表缺陷态的拉曼D峰,证明石墨烯的晶体质量并没有被温和的氢等离子体破坏。      图3 氢等离子体刻蚀出的单层正六边形孔洞边缘的扫描隧道显微镜成像(a)恒流模式高度像,(b)原子分辨像,(c)二维傅里叶变换图,显示出刻蚀得到的近原子级规则的边缘与zigzag取向平行,且在边缘处观察到了驻波。(d)对应的结构示意图。      图4 利用电子束曝光与各向异性刻蚀方法相结合制备具有特定取向的sub-20nm石墨烯纳米带的流程图(a)。具体过程如图(b)电子束曝光和氧等离子体刻蚀得到的起始宽度为120nm的石墨烯条带,经过氢等离子体各向异性刻蚀之后细化到sub-20nm的石墨烯纳米带如图(c)。(d)石墨烯纳米带场效应晶体管器件的结构示意图,石墨烯为接触电极,(e)不同宽度的石墨烯纳米带的器件,(f)对应的转移特性曲线,证明8nm宽的石墨烯纳米带能在室温下实现2个数量级的开关比。
  • 中微公司第 1500 个 CCP 刻蚀设备反应台顺利付运
    2021年11月2日,中微半导体设备(上海)股份有限公司(以下简称“中微公司”,股票代码:688012)迎来了一个重要的里程碑:中微公司的电容耦合高能等离子体(CCP)刻蚀设备第1500个反应台顺利付运国内一家领先的半导体制造商。本次交付的Primo D-RIE® 刻蚀设备反应台来自该客户的重复订单。 据了解,Primo D-RIE® 刻蚀设备被全球领先的芯片制造商用于制造存储和逻辑器件。为优化产量而设计,Primo D-RIE® 可以配置多达三个双反应台反应腔,每个反应腔既可以独立操作,又可以同时加工两片晶圆。此外,该设备的突出特点还包括:中微公司具有独立自主知识产权的甚高频和低频混合射频去耦合反应等离子体源、等离子体隔离环、用于控制腔体内反应环境的先进工艺组件。 自2007年Primo D-RIE® 发布以来,中微公司陆续拓展了CCP刻蚀设备产品线,以满足客户日益严苛的技术需求。除Primo D-RIE® 双反应台刻蚀设备以外,CCP刻蚀设备系列还包括双反应台刻蚀设备Primo AD-RIE® 、单反应台刻蚀设备Primo SSC AD-RIE® 、Primo HD-RIE® 和刻蚀及除胶一体化的 Primo iDEA® 。这些产品为客户提供了全面综合的设备解决方案,用于5纳米及以下工艺的多种应用。中微公司的刻蚀设备产品线还包括其他两款电感耦合低能等离子体(ICP)刻蚀设备和硅通孔(TSV)刻蚀设备。中微公司等离子体刻蚀设备独特的创新技术和不断快速增长的市场占有率巩固了在国内外半导体前道设备行业的领先地位,并推动公司持续发展。今年到目前为止,用于3D NAND应用的Primo HD-RIE和用于7纳米及以下节点逻辑应用的Primo AD-RIE-e占设备总出货量的50%。其中,中国大陆和台湾地区占比最高。中微公司今年前三个季度的销售收入比去年同期增长了40.4%,其中刻蚀设备的销售增长率约100%。
  • 国产刻蚀机的“突围”之路
    p style=" text-indent: 2em text-align: justify " 半导体产业三大生产工艺环节分为:IC设计(电路与逻辑设计)、IC制造(前道工序)和IC封装与测试环节(后道工序)。IC制造环节又分为晶圆制造和晶圆加工两部分。晶圆加工则是指在制备晶圆材料上构建完整的集成电路芯片的过程,包含镀氧化、扩散、退火、离子注入、薄膜沉积、光刻、刻蚀、化学机械平坦化(CMP)等十余道工艺,其中最关键的三类主设备是光刻机、刻蚀机和薄膜沉积设备,价值占前道设备的近70%。光刻机已经成为最具关注的话题,其实刻蚀机同为其中重要的一环。刻蚀是在衬底上留下需要的图形电路。刻蚀分为干法刻蚀和湿法刻蚀,当前干法刻蚀是主流工艺;在干法刻蚀中,反应离子刻蚀应用最广泛。按照被刻蚀材料划分,等离子体刻蚀机分为硅刻蚀机、介质刻蚀机和金属刻蚀机;其中,介质刻蚀与硅刻蚀机分别占比49%以及48%,金属刻蚀仅占3%(数据来源:《半导体系列深度报告:刻蚀设备:最优质半导体设备赛道,技术政策需求多栖驱动》)。 /p p style=" text-indent: 2em text-align: justify " 从公开信息可以看到,中国刻蚀设备的工艺节点已经达到5nm,并得到台积电的验证,追赶上主流半导体的步伐;在市场表现上看,国际大厂在中国市场的份额从最初几乎垄断到2019年下降至77%;北方华创的硅刻蚀机、金属刻蚀机,中微公司的介质刻蚀机在国内均已牢牢占据一席之地,并成功进军国际市场。 /p p style=" text-align: center text-indent: 2em " img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202009/uepic/aa32673b-c238-4268-8a38-1f3be32fbaa5.jpg" title=" 1.png" alt=" 1.png" / /p p style=" text-indent: 0em text-align: center " span style=" text-indent: 2em " 5nm的刻蚀机照片(中微官网) /span /p h3 style=" text-indent: 0em text-align: center " 曾经让人“绝望”的国际巨头 /h3 p style=" text-indent: 2em text-align: justify " 2019年全球刻蚀机市场份额由三家国际厂商瓜分,来自美国硅谷的泛林半导体(Lam Research)占53%,位于日本的东京电子东京电子(Tokyo Electron)占19%,同样是美国硅谷的应用材料(Applied Materials)占18%。尽管近年来刻蚀行业的后起之秀如雨后春笋,但这三家国际巨头仍共占全球九成以上的市场份额。 /p p style=" text-indent: 2em text-align: justify " 上世纪70年代,半导体产业大发展,伴随着半导体产业的快速起步发展,相应的半导体设备公司也纷纷成立。1980年泛林半导体公司成立,凭借着对先进技术和产品的单纯追求,第二年便推出了第一款刻蚀机产品—AutoEtch,并于第四年在纳斯达克上市。90年代,泛林将业务拓展到CVD和显示面板领域,反而分散了公司的业务焦点,最终却适得其反市值暴跌。痛定思痛,泛林半导体将研发重心放在刻蚀设备领域,2007年后在刻蚀设备领域终于无可撼动。 /p p style=" text-indent: 2em text-align: justify " 应用材料公司成立于1967年,是全球最大的半导体设备公司。公司位于美国硅谷,拥有极强的研发能力,官方资料显示,应用材料每年在研发上投入20亿美元,团队成员中30%为专业研发人员,平均每天(包括星期六和星期日)要申请四个以上的新专利。1981年应用材料克服了超大规模集成电路离子刻蚀的技术难题,进入刻蚀设备领域,开启了现代刻蚀时代。 /p p style=" text-indent: 2em text-align: justify " 1963年,久保德雄和小高敏夫在东京创立了东京电子研究所,注册资本500万日元,员工6人。1968年,东京电子与Thermco Products Corp.合并,成为日本第一家半导体制造设备厂商。1975年,东京电子决定专注于半导体制造设备。1981年,东京电子成为了最顶级半导体制造设备厂商。1989年,半导体制造设备营收额全球第一,并连续三年蝉联冠军,至1991年。虽然东京电子的成长路径远不如前两家波澜壮阔,但它们对于研发的投入绝不缩水。2018财年东京电子研发费用约1200亿日元(约合80亿人民币)。 /p p style=" text-indent: 2em text-align: justify " 这些巨头都成立于上世纪60-80年代,伴随着半导体产业起步和发展而壮大,积累了强大的技术研发团队和专利壁垒,成为了刻蚀设备领域让人“绝望”的国际巨头。 /p h3 style=" text-indent: 0em text-align: center " 美国禁运下的“成功突围” /h3 p style=" text-indent: 2em text-align: justify " 为了阻挠中国半导体产业发展,美国对半导体关键设备实施了禁运,其中包括了等离子体刻蚀机的禁运。从此中国刻蚀机领域开始了漫漫“突围”路。 /p p style=" text-indent: 2em text-align: justify " 2004年,尹志尧和16位同仁一起,从美国回到中国,在上海浦东创建了中微。尹志尧曾在硅谷Intel公司、LAM研究所、应用材料公司等电浆蚀刻供职16年。尹志尧曾发起硅谷中国工程师协会并担任主席。尹志尧在硅谷工作的时候,其团队让公司占据全球将近一半的市场,并且在半导体行业拥有多项专利。 /p p style=" text-indent: 2em text-align: justify " 为追赶国际先进水平,中微公司成立后采用了全员持股的激励制度,吸引了来自世界各地具有丰富经验的半导体设备专家,形成了技术精湛、勇于创新、专业互补的国际化人才研发队伍,并始终保持大额的研发投入和较高的研发投入占比,2019年净利润同比增长108% 研发投入占营收比为21.81%。 /p p style=" text-indent: 2em text-align: justify " 2007年,中微公司首台甚高频去耦合等离子体刻蚀设备Primo D-RIE研制成功。作为中微第一代电介质刻蚀产品,在同年的日本半导体博览会上发布,是12英寸双反应台多反应腔主机系统,用于65nm到16nm技术节点,可以灵活配置多大三个双反应台反应腔。每个反应腔都可以在单晶圆反应环境下,同时加工两片晶圆。刻蚀设备采用了双反应台技术增加了产能输出,可以有效降低客户的成本,相较于同类产品具有很高的性价比优势。 /p p style=" text-indent: 2em text-align: justify " 2011年,中微第二代电介质刻蚀产品Primo AD-RIE刻蚀设备研制成功,可用于45nm到14nm后段制程以及10nm前段应用的开发。同时,中微通过建立全球化的采购体系,与供应商密切合作,制造出模块化、易维护、具有成本竞争优势的产品;其通过科学的方法管理库存,有效地降低了公司的运营成本。 /p p style=" text-indent: 2em text-align: justify " 2013年,CCP刻蚀设备产品Primo SSC AD-RIE刻蚀设备研制成功,可用于40-7nm工艺。三代刻蚀设备,不断迭代,产品线覆盖了多个制程的微观器件的众多刻蚀应用。 /p p style=" text-indent: 2em text-align: justify " 半导体设备产业的波动要大于半导体芯片产业的波动,更大于 GDP 的波动。仅靠单一的设备产品来发展的企业无法抵御市场波动带来的不确定性。为此,中微公司的半导体设备实现了多产品覆盖,2010年,首台深硅刻蚀设备产品研制成功;2012年首台MOCVD设备产品研制成功,产品覆盖集成电路、MEMS、LED 等不同的下游半导体应用市场。 /p p style=" text-indent: 2em text-align: justify " 在中微的主要产品线刻蚀设备方面,国际巨头泛林科技、东京电子和应用材料均实现了硅刻蚀、介质刻蚀、金属刻蚀的全覆盖,他们占据了全球干法刻蚀机市场的90%以上份额。即便如此,中微还是在介质刻蚀领域实现了突围,将产品打入台积电、联电、中芯国际等芯片生产商的40多条生产线,并实现了量产。 /p p style=" text-indent: 2em text-align: justify " 不同于中微公司从介质刻蚀机入手,北方华创选择从硅刻蚀机入手。在国家02专项的支持下,北方华创在硅刻蚀机领域不断实现突破,先进制程工艺一路上扬,28nm,22nm都实现了突破。 /p p style=" text-indent: 2em text-align: justify " 国产刻蚀机的不断突破,最终使得美国在2015年解除了对中国的刻蚀机禁运。国产刻蚀设备的不断进步终于突破了美国的封锁。 /p h3 style=" text-align: center text-indent: 0em " “与狼共舞”勇夺“世界三强”一席 /h3 p style=" text-indent: 2em text-align: justify " 伴随着美国解除对中国的刻蚀机禁运,国产刻蚀设备也开始进入国际市场并与世界刻蚀机巨头展开了激烈的竞争。而国内市场也迎来了激烈的角逐。 /p p style=" text-indent: 2em text-align: justify " 面对来势汹汹的国际半导体设备巨头,中微公司进一步加大研发投入,提前布局。在2016年成功研制出首台ICP刻蚀设备产品Primo nanova,这是中微基于ICP开发的第一代产品,适用于14-7nm工艺技术节点。可以配置多达6个刻蚀反应腔和两个可选的去胶腔。之后不断改进设备,2018年改进Primo AD-RIE并进入5nm生产线,至今仍不断引领国内半导体设备和技术的发展。目前中微公司在介质刻蚀领域在世界上已获得一席之地,成为介质刻蚀领域的世界三强企业。 /p p style=" text-indent: 2em text-align: justify " 于此同时,北方华创也在刻蚀机领域实现了节节突破,2016年研发出了14nm工艺的硅刻蚀机。虽然金属刻蚀市场很小,但在2017年11月,北方华创研发的中国首台适用于8英寸晶圆的金属刻蚀机,也成功搬入中芯国际的产线,这个也是有重大突破意义的。 /p p style=" text-indent: 2em " br/ /p p style=" text-indent: 2em text-align: justify " a href=" https://www.instrument.com.cn/zc/833.html" target=" _self" 电子束刻蚀系统专场:https://www.instrument.com.cn/zc/833.html /a /p
  • 首台国产12英寸晶边刻蚀机在北京经开区发布
    近日,北京经开区企业北方华创科技集团股份有限公司(以下简称“北方华创”)正式发布应用于晶边刻蚀(Bevel Etch)工艺的12英寸等离子体刻蚀机Accura BE,实现国产晶边干法刻蚀设备“零”的突破,为我国先进芯片制造量身打造良率提升高效解决方案。  何谓晶边刻蚀机?在器件制造过程中,由于薄膜沉积、光刻、刻蚀和化学机械抛光等工艺步骤的大幅增长,在晶圆的边缘造成了不可避免的副产物及残留物堆积,这些晶边沉积的副产物及残留物骤增导致的缺陷风险成为产品良率的严重威胁,因此,越来越多逻辑及存储芯片等领域制造商开始重点关注12英寸晶圆的边缘1mm区域,从晶圆的边缘位置着手提高芯片良率。晶边刻蚀机作为业界提升良率的有力保障,其重要性日益凸显。  “Accura BE作为首台国产12英寸晶边刻蚀设备,其技术性能已达业界主流水平。”北方华创相关负责人表示,Accura BE通过软件系统调度优化与特有传输平台的结合,可助力客户实现较高的产能;通过选择搭配多种刻蚀气体,实现对PR(光刻胶),OX(氧化物),SiN(氮化硅),Carbon(碳),Metal(金属)等多类膜层材料的晶边刻蚀工艺全覆盖;可定制多种尺寸的聚焦环设计组合,实现对等离子体刻蚀区域的精准位置控制,从而为客户提供灵活、全面的良率提升方案;具备软件智能算法,可实施可视化的量化调节,简化维护流程,提高设备生产效率。  首台!首套!首次!北方华创自2001年创立起就开始组建团队钻研刻蚀技术,从2005年第一台8英寸ICP刻蚀机在客户端上线,到带领国产刻蚀机从零到交付破千,北方华创历经了二十余载自主创新,不断为集成电路装备国产化进程贡献“亦庄智慧”。据了解,基于20余年在刻蚀工艺技术、等离子体控制及多材料刻蚀能力等方面的积累与创新,Accura BE刚发布上市,就已斩获逻辑及存储器领域头部客户多个订单,通过工艺调试,进入量产阶段,其优秀的工艺均匀性、传输稳定性及快速维护的能力赢得行业高度评价。
  • 为刻蚀终点探测进行原位测量
    作者:泛林集团 Semiverse Solutions 部门软件应用工程师 Pradeep Nanja介绍半导体行业一直专注于使用先进的刻蚀设备和技术来实现图形的微缩与先进技术的开发。随着半导体器件尺寸缩减、工艺复杂程度提升,制造工艺中刻蚀工艺波动的影响将变得明显。刻蚀终点探测用于确定刻蚀工艺是否完成、且没有剩余材料可供刻蚀。这类终点探测有助于最大限度地减少刻蚀速率波动的影响。刻蚀终点探测需要在刻蚀工艺中进行传感器和计量学测量。当出现特定的传感器测量结果或阈值时,可指示刻蚀设备停止刻蚀操作。如果已无材料可供刻蚀,底层材料(甚至整个器件或晶圆)就会遭受损坏,从而极大影响良率[1],因此可靠的终点探测在刻蚀工艺中十分重要。半导体行业需要可以在刻蚀工艺中为工艺监测和控制提供关键信息的测量设备。目前,为了提升良率,晶圆刻蚀工艺使用独立测量设备和原位(内置)传感器测量。相比独立测量,原位测量可对刻蚀相关工艺(如刻蚀终点探测)进行实时监测和控制。使用 SEMulator3D®工艺步骤进行刻蚀终点探测通过构建一系列包含虚拟刻蚀步骤、变量、流程和循环的“虚拟”工艺,可使用 SEMulator3D 模拟原位刻蚀终点探测。流程循环用于在固定时间内重复工艺步骤,加强工艺流程控制(如自动工艺控制)的灵活性[2]。为模拟控制流程,可使用 "For Loop" 或 "Until Loop"(就像计算机编程)设置一定数量的循环。在刻蚀终点探测中,可使用 "Until Loop",因为它满足“已无材料可供刻蚀”的条件。在循环中,用户可以在循环索引的帮助下确认完成的循环数量。此外,SEMulator3D 能进行“虚拟测量”,帮助追踪并实时更新刻蚀工艺循环中的材料厚度。通过结合虚拟测量薄膜厚度估测和流程循环索引,用户可以在每个循环后准确获取原位材料刻蚀深度的测量结果。用 SEMulator3D 模拟刻蚀终点探测的示例初始设定在一个简单示例中,我们的布局图像显示处于密集区的四个鳍片和密集区右侧的隔离区(见图1)。我们想测量隔离区的材料完成刻蚀时密集区的刻蚀深度。我们将用于建模的区域用蓝框显示,其中有四个鳍片(红色显示)需要制造。此外,我们框出了黄色和绿色的测量区域,将在其中分别测量隔离区的薄膜厚度 (MEA_ISO_FT) 和沟槽区的刻蚀深度 (MEA_TRENCH_FT)。工艺流程的第一步是使用 20nm 厚的硅晶体层(红色)、30nm 的氧化物(浅蓝色)和 10nm 的光刻胶(紫色)进行晶圆设定(图2)。我们曝光鳍片图形,并对使用基本模型刻蚀对光刻胶进行刻蚀,使用特定等离子体角度分布的可视性刻蚀对氧化物材料进行刻蚀。氧化物对光刻胶的选择比是100比1。我们在 SEMulator3D 中使用可视性刻蚀模型来观察隔离区和有鳍片的密集区之间是否有厚度上的差异。图1:模型边界区域(蓝色),其中包含四个鳍片(红色)和用于测量隔离区(黄色)和沟槽区(绿色)薄膜厚度的两个测量区域图2:SEMulator3D 模型,硅晶体(红色)、氧化物(浅蓝色)和在光刻胶中显影的四个鳍片(紫色)SEMulator3D 刻蚀终点探测循环SEMulator3D 的工艺流程使用 Until Loop 循环流程。我们将测量隔离区的材料厚度,并在隔离氧化物薄膜耗尽、即厚度为0时 (MEA_ISO_FT==0) 停止该工艺。在这个循环中,每个循环我们每隔 1nm 对氧化物材料进行1秒的刻蚀,并同时测量此时隔离区氧化物薄膜厚度。此外,我们将在每次循环后追踪两个鳍片间沟槽区的刻蚀深度。这个循环索引有助于追踪刻蚀循环的重复次数(图3)。图3:SEMulator3D 刻蚀终点探测模拟中的循环流程结果对隔离薄膜进行刻蚀,直至其剩余 20nm、10nm 和 0nm 深度的模拟结果如图4所示。模型中计算出隔离薄膜厚度的测量结果,以及两个鳍片间沟槽区的刻蚀深度。图4:隔离区薄膜厚度剩余 20nm、10nm 和 0nm 的工艺模拟流程,及相应从光刻胶底部开始的沟槽刻蚀深度我们对循环模型进行近30次重复后,观察到隔离区的薄膜厚度已经达到0,并能追踪到沟槽区氧化物的刻蚀深度(当隔离区被完全刻蚀时,密集区 30nm 的氧化物已被刻蚀 28.4nm)。结论SEMulator3D 可用来创建刻蚀终点探测工艺的虚拟模型。这项技术可用来确定哪些材料在刻蚀工艺中被完全去除,也可测量刻蚀后剩下的材料(取决于刻蚀类型)。使用这一方法可成功模拟原位刻蚀深度控制。使用类似方法,也可以进行其他类型的自动工艺控制,例如深度反应离子刻蚀 (DRIE) 或高密度等离子体化学气相沉积 (HDP-CVD) 工艺控制。参考资料:[1] Derbyshire, Katherine. In Situ Metrology for Real-Time Process Control, Semiconductor Online, 10 July 1998, https://www.semiconductoronline.com/doc/in-situ-metrology-for-real-time-process-contr-0001.[2] SEMulator3D V10 Documentation: Sequences, Loops, Variables, etc.
  • 聚焦半导体产业与等离子技术工艺——2019牛津仪器等离子技术研讨会在武汉隆重举行
    p    strong 仪器信息网讯 /strong 2019年10月31日,由牛津仪器主办的“2019牛津仪器等离子技术研讨会——光电及微机电器件制造工艺解决方案”在湖北武汉隆重举行。本次会议是一次针对等离子技术在光电及微机电应用领域的信息共享盛会,参会人数近百人。来自中山大学、华中科技大学、德国Axitron、深圳珑璟光电、湖南启泰传感科技、以及牛津仪器的技术专家为到会人员讲解了半导体行业前沿动态和等离子技术应用实例。仪器信息网在会议期间采访了牛津仪器等离子技术部中国区经理陈伟和中国区市场与工艺高级部门经理方子文博士,听两位大咖谈半导体产业和等离子技术的最新进展。 /p p   牛津仪器等离子技术部中国区经理陈伟首先对到场人员表示欢迎,并作“牛津仪器等离子技术部全产品介绍”的报告。牛津仪器诞生在牛津大学,并在1959年成为第一家独立于牛津大学的商业机构,恰好今年也是牛津仪器的60岁生日。世界只有一个硅谷,在美国 世界只有一个光谷,即武汉光电发展产业园,这也是牛津仪器选择在武汉举办第三次用户会的原因。牛津仪器目前关注的重点主要有三部分:光电子、传感器、射频和功率器件 并且牛津仪器超过50%的用户都是量产型用户。其他在研的领域还有二维材料和原子层镀膜刻蚀等,这些领域可能在未来3-5年后才会产生应用。牛津仪器等离子产品主要集中在刻蚀和沉积两块,陈经理介绍了铌酸锂在体声波传感器应用、ICP、PECVD 离子束产品、离子束刻蚀、离子束沉积等内容。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/8dacdfad-60e0-4a08-957b-8b2be967ceec.jpg" title=" 陈伟.jpg" alt=" 陈伟.jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong 牛津仪器等离子技术部中国区经理 陈伟 /strong /p p   中山大学教授蔡鑫伦作“基于硅-铌酸锂复合基底的马赫-曾德尔调制器”的报告。光电子芯片是光通信的基石,而硅基光电子器件是目前最有前景的集成平台,具有高集成度和CMOS兼容带来的低成本等优势。硅基电光调制器是其中最重要的部分,能把电域转化成光域,使得器件速率提高。硅基电光调制器目前主要有传统硅基调制器和硅基异质集成两种(如石墨烯/硅、聚合物/硅、磷化铟/硅、铌酸锂/硅等)。铌酸锂材料具有优秀的电光、声光、压电等性质,但面临折射率差小、尺寸大、集成度低、效率低等问题。使用干法刻蚀工艺制备的铌酸锂薄膜材料在垂直方向上可形成高折射率差,具有折射率差大、尺寸小、集成度高、效率高等优势。2018年,哈佛大学发表的铌酸锂材料做到了半波电压1.4V,电光带宽40GHz,速率210Gbit/s 2019年,中山大学取得了进一步的突破,半波电压提升到1.6V,电光带宽提升到了45GHz,速率提升到了220Gbit/s。铌酸锂薄膜与硅光结合,全面突破电光调制器的性能瓶颈,能够更好地支撑下一代光通信技术。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/176f1ba3-e146-4a90-944a-6284c5ea47b0.jpg" title=" 蔡鑫伦.jpg" alt=" 蔡鑫伦.jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / /p p style=" text-align: center "    strong 中山大学教授 蔡鑫伦 /strong /p p   牛津仪器等离子技术部邓丽刚作“磷化铟半导体化合物光子器件等离子等离子刻蚀工艺综述”的报告。邓丽刚在牛津仪器工作了超过25年,在等离子刻蚀等领域具有超过30年的经验,长期在英国从事等离子体刻蚀等方面的工作。磷、铟等广泛应用于光电子器件中,报告中介绍了不同的器件对于工艺的基本要求、基本的等离子体概念、由基本概念引申出等离子体刻蚀影响因素以及如何利用这些概念调制刻蚀形貌 还介绍了DFB激光器的刻蚀工艺、器件损伤和工艺的重复性等。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/8c3e4706-f280-4738-9372-fd82b27bf801.jpg" title=" 邓丽刚.jpg" alt=" 邓丽刚.jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong 牛津仪器等离子技术部 邓丽刚 /strong /p p   湖南启泰传感科技有限公司董事长王国秋作“薄膜压力传感器与物联网发展”的报告。压力传感器,由于压力源会造成表面变形,导致破坏性作用,使得传感器面临损坏、失效、寿命缩短等问题,目前国内主要使用进口产品。湖南启泰传感制造的压力传感器应用了金属基底,不但弹性更好、稳定性高、可靠性好、温度适应性好(-200℃-200℃),而且避免了使用硅和陶瓷材料的极端高压适应性问题 王总还以消防行业为例,阐述了物联网动态监测对于下一代压力传感器的革命性变革。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 237px " src=" https://img1.17img.cn/17img/images/201911/uepic/c07e59d6-debf-4766-9d80-6a00a7129eb6.jpg" title=" 湖南启泰传感科技有限公司董事长 王国秋.jpg" alt=" 湖南启泰传感科技有限公司董事长 王国秋.jpg" width=" 400" height=" 237" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong 湖南启泰传感科技有限公司董事长 王国秋 /strong /p p   深圳珑璟光电技术有限公司赵硕博士作“AR光学方案趋势”的报告,介绍了AR和VR市场目前发展趋势及相关技术方案、衍射波导相关内容以及AR仪器在现实场景中的应用。赵博士认为,VR和AR市场取代手机是一个必然的发展趋势,2025年AR市场将达到8000亿美金以上。华为、英特尔、高通、微软、苹果等行业巨头已经在AR领域开始布局,其中,AR眼镜产品将成为焦点,而光学模组限制了AR场景的使用,且成本占比接近50%,是AR产品的重要元部件。 赵博士介绍了深圳珑璟光电在棱镜、Birdbath、阵列光波导、光栅的产品研发计划以及在G端、B端、C端的应用。 br/ /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/0faa9bd8-d9dd-4e5a-8c16-0e3d45edf8fd.jpg" title=" 赵硕.jpg" alt=" 赵硕.jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong 深圳珑璟光电技术有限公司 赵硕 /strong /p p   华中科技大学引力中心刘骅锋教授作“基于硅基刻穿工艺的高精度MEMS加速度计”的报告,介绍了MEMS火星微震加速度计原理及关键技术,包括高深宽比体硅刻穿工艺、高精度位移传感技术、电磁力反馈控制技术、温度自补偿技术、冲击过载保护技术、低应力封装技术等。刘教授还在现场播放了来自火星的风声,令现场观众耳目一新。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/d22f4dee-1b9e-414c-9444-386f844bd6ce.jpg" title=" 刘骅锋.jpg" alt=" 刘骅锋.jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong 华中科技大学 刘骅锋 /strong /p p   牛津仪器等离子技术部邓丽刚作“VCSEL及其他镓砷-铝镓砷等离子刻蚀工艺”的报告,通过大量实例介绍了VCSEL解决方案和镓砷-铝镓砷的刻蚀工艺。报告后,邓丽刚向参会观众提出两个与VCSEL有关的基础性问题,并为两位答题观众颁发了奖品。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/c03d2870-7b58-4670-a0b6-6d1d35a372c2.jpg" title=" 邓丽刚为答题者颁奖.jpg" alt=" 邓丽刚为答题者颁奖.jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong 牛津仪器等离子技术部邓丽刚为答题者颁奖 /strong /p p   Axitron公司中国区市场与工艺高级部门经理方子文博士作“III/V族光电设备的大批量外延制造”的报告,介绍了VCSEL具体在砷化镓基、磷化铟基方面的应用以及Micro LED在显示方面标杆性的工作。方博士表示,VCSEL器件的出现已经很多年历史,但落实到生产要考虑到良率等问题,比如在均匀性方面,要考虑厚度均匀性、组分均匀性,另外也要考虑成本问题 尺寸在50微米以下的Micro LED产品,在未来必然会替代LCD、OLED等,但这离不开业界的努力,其市场相比于LED照明市场更加广阔,是LED市场的10倍以上。市面上见到的Micro LED产品其实还没有实现量产,而目前工业届最大的问题就是量产,Axitron公司也正在解决如何实现低成本、高良率生产问题,因为只有这样才能打开更广阔的市场。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/db4f3c98-a467-4476-8443-c2b69cc8e72a.jpg" title=" 方子文.jpg" alt=" 方子文.jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong Axitron公司中国区市场与工艺高级部门经理 方子文 /strong /p p   牛津仪器纳米分析部马岚博士作“半导体材料的表面分析”的报告,介绍了EDS及EBSD在半导体中的应用以及原子力显微镜在半导体中的应用。EBSD主要用于长程有序的结晶半导体样品分析,如微焊点等。EBSD能通过采集周期性样品表面所产生的衍射电子信号,确定样品晶体结构、晶粒取向、晶粒尺寸和界面分布,可以用于芯片的失效分析。随后,马岚博士也就原子力显微镜如何分析半导体表面粗糙度、形貌、缺陷等进行了详细介绍。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/e05c777d-cf78-47be-8e59-4999118212af.jpg" title=" 马岚.jpg" alt=" 马岚.jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / & nbsp /p p style=" text-align: center " strong & nbsp 牛津仪器 马岚 /strong /p p style=" text-align: center " strong img style=" max-width: 100% max-height: 100% width: 400px height: 400px " src=" https://img1.17img.cn/17img/images/201911/uepic/02f3ede5-bd2f-4713-b0d0-d61cde0ce5ee.jpg" title=" 颁奖_副本.png" alt=" 颁奖_副本.png" width=" 400" height=" 400" border=" 0" vspace=" 0" / /strong /p p style=" text-align: center " strong 牛津仪器为报告人颁奖 /strong /p p style=" text-align: left "   仪器信息网还在会议期间采访了牛津仪器等离子技术部中国区经理陈伟和Axitron公司中国区市场与工艺高级部门经理方子文博士,了解国内半导体产业发展现状与等离子技术发展的趋势。 br/ /p p    strong 牛津仪器: /strong strong 以客户需求为中心 以行业应用为导向 /strong /p p   陈经理谈到,得益于国内政策导向的支持与半导体芯片企业的飞速发展,牛津仪器凭借着每年10%以上的科研投入保持着两位数的高速增长。一方面,牛津仪器迎合客户需求,积极推陈出新,关注化合物半导体的发展,尤其是在光电、能源领域 另一方面,牛津仪器积极发展等离子体技术,比如在等离子体低损伤方面,不同于传统ICP以时间为单位,新型原子层刻蚀以每个Cycle为单位,刻蚀可以控制在原子层级别,精度大幅提升。 /p p   牛津仪器目前超过50%的用户都是量产型用户,通过举办类似的线下用户会,与用户面对面交流,第一时间了解到用户的问题和攻克的难点,确定攻克方向上的优先顺序。在传统领域,牛津仪器主要是帮助量产型用户提升产能、良率 在新领域研发方面,牛津仪器也在关注二维材料等领域,虽然三到五年内尚不会成为主流,但其发展潜力看好。包括砷化镓,碳纳米管以及二硫化钼等低维材料都有希望成为替代硅的晶体管材料。目前,客户对等离子技术的需求日益提高,以无机材料中的化合物半导体为例,随着芯片的迭代升级,对频率和功率的要求更加严格,不仅要朝着刻蚀的无损化的方向发展,还要求一台设备能对应更多类型的材料,这都是牛津仪器目前在研发的方向。 /p p strong   Axitron公司:将与牛津仪器密切合作 携手促进等离子技术发展和半导体相关产业升级 /strong /p p   方博士表示,Axitron公司与牛津仪器是上下游的合作关系,比如在薄膜的沉积和刻蚀方面,双方合作攻克新材料,从硅基材料到新型化合物半导体材料,如砷化镓及磷化铟等新兴材料。目前,化合物半导体材料在性能上比硅强,但是化合物半导体材料的普及主要还是集中在成本上,这包括了整个行业长期的质量验证过程以及行业整体“量”上的提升。以LED蓝宝石衬底为例,过去单片成本高昂,但随着政府的支持和大量工厂的兴起,单片成本大幅降低。在这个过程中,科研用户在化合物半导体领域进行初筛,选择最具量产前景的半导体新材料 工业用户主要负责降低单位成本,比如基台的成本和消耗,保证产能和良率的提升和化学源效率的提高。 /p p   对于国内半导体产业面临的问题,方博士也指出,国内产业在数据分析方面还停留在初级阶段,产品质量出现问题才由工程师人为分析,尚未建立起工业的自动化,进行常见参数如温度、压力与对应产品批次的质量分析。对于国内半导体产业技术相对落后的问题,牛津仪器和Axitron公司也经常为客户做一些技术分享,帮助半导体相关产业升级。 /p p   陈经理最后谈到,中国市场对于牛津仪器意义非凡,在整个亚太市场都占有很高的比重,牛津仪器也会重视中国市场的巩固与开拓,未来考虑将在上海建立DEMO实验室,帮助用户提供专业解决方案。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/aa4ca30d-bd3b-434f-ab51-7ad34ef13c6c.jpg" title=" Axitron公司方子文博士(左)和牛津仪器经理陈伟(右).jpg" alt=" Axitron公司方子文博士(左)和牛津仪器经理陈伟(右).jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong Axitron公司方子文博士(左)和牛津仪器等离子技术部中国区经理陈伟(右) /strong /p p   本次会议在武汉隆重举行,牛津仪器与用户进行了深入的交流讨论,对于用户痛点以及未来的发展方向有了更加清晰的认知,也帮助用户解决了科研生产中的工艺问题,会议取得了圆满成功。 /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 266px " src=" https://img1.17img.cn/17img/images/201911/uepic/897cf5fb-7e61-4f7d-9275-41ac011fb8f7.jpg" title=" 获奖.jpg" alt=" 获奖.jpg" width=" 400" height=" 266" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong 研讨会期间还举办了抽奖环节,牛津仪器为与会老师提供了丰富的奖品 /strong /p p style=" text-align: center " img style=" max-width: 100% max-height: 100% width: 400px height: 267px " src=" https://img1.17img.cn/17img/images/201911/uepic/e67b1886-c314-44cd-b252-629652092789.jpg" title=" 会后热烈讨论.jpg" alt=" 会后热烈讨论.jpg" width=" 400" height=" 267" border=" 0" vspace=" 0" / /p p style=" text-align: center " strong 会后热烈讨论 /strong /p p br/ /p
  • 进军5nm,中微公司证实刻蚀机进入国际客户最先进生产线
    4月6日,中微公司董事长、总经理尹志尧透露,公司研发的等离子刻蚀设备已经进入客户的5nm生产线。尹志尧表示,公司的等离子体刻蚀设备已应用在国际一线客户从65纳米到14纳米、7纳米和5纳米及其他先进的集成电路加工制造生产线和先进封装生产线。其中,公司开发的12英寸高端刻蚀设备已运用在国际知名客户最先进的生产线上并用于5纳米、5纳米以下器件中若干关键步骤的加工。此外,公司MOCVD设备在行业领先客户的生产线上大规模投入量产。中微公司也在互动平台上证实了这一说法,称公司刻蚀设备确实进入了5纳米生产线。中微公司瞄准世界科技前沿,主要从事高端半导体设备及泛半导体设备的研发、生产和销售。公司的刻蚀设备已应用于全球先进的7纳米和5纳米及其他先进的集成电路加工制造生产线及先进封装生产线。中微公司作为设备公司,向客户提供可加工先进器件的设备,协助、配合客户实现先进器件的开发和生产。据介绍,等离子体刻蚀机是芯片制造中的一种关键设备,用来在芯片上进行微观雕刻,每个线条和深孔的加工精度都是头发丝直径的几千分之一到上万分之一,精度控制要求非常高。
  • 中微公司ICP刻蚀设备Primo nanova®第100台反应腔顺利交付
    2021年6月9日,中微半导体设备(上海)股份有限公司(以下简称“中微公司”,股票代码:688012)在上海总部举办电感耦合等离子体(ICP)刻蚀设备Primo nanova® 第100台反应腔交付客户庆祝仪式。中微公司执行副总裁兼首席运营官杜志游博士、集团副总裁兼等离子刻蚀产品事业总部总经理倪图强博士、副总裁兼ICP刻蚀产品部总经理刘身健博士和其他核心团队成员出席了庆祝仪式。Primo nanova® 是中微公司于2018年正式发布的第一代电感耦合等离子体刻蚀设备。该设备采用了中微公司具有自主知识产权的电感耦合等离子体刻蚀技术,具有对称的反应腔、超高分子泵抽速、独特的低电容耦合线圈设计和多区细分温控静电吸盘等创新特性,帮助客户在存储芯片和逻辑芯片的批量生产中获得更好的工艺加工能力和更低的生产成本。图源 中微官网目前,中微公司Primo nanova® 产品已成功进入海内外十余家客户的晶圆生产线,在领先的逻辑芯片、DRAM 和3D NAND厂商的生产线上实现大规模量产。在全球客户的信任和支持下,中微公司第100腔Primo nanova® 刻蚀设备的顺利交付,是公司ICP刻蚀产品业务发展新的里程碑,标志着产品发展迈入新的阶段。当前集成电路科技发展日新月异,中微公司将继续在全球客户和产业链合作伙伴的支持下,持续开发极具竞争优势的技术和产品,助力行业实现跨越式发展。关于中微半导体设备(上海)股份有限公司中微半导体设备(上海)股份有限公司(证券简称:中微公司,证券代码:688012)致力于为全球集成电路和LED芯片制造商提供领先的加工设备和工艺技术解决方案。中微公司开发的等离子体刻蚀设备和化学薄膜设备是制造各种微观器件的关键设备,可加工微米级和纳米级的各种器件。这些微观器件是现代数码产业的基础,它们正在改变人类的生产方式和生活方式。中微公司的等离子体刻蚀设备已被广泛应用于国际一线客户从65纳米到5纳米工艺的众多刻蚀应用,中微公司开发的用于LED和功率器件外延片生产的MOCVD设备已在客户生产线上投入量产,目前已在全球氮化镓基LED MOCVD设备市场占据领先地位。
  • 一周内多所科研院校密集发布刻蚀机采购招标公告
    p & nbsp & nbsp & nbsp & nbsp 刻蚀是半导体制造工艺,微电子IC制造工艺以及微纳制造工艺中的一种相当重要的步骤。是与光刻相联系的图形化处理的一种主要工艺。所谓刻蚀,实际上狭义理解就是光刻腐蚀,先通过光刻将光刻胶进行光刻曝光处理,然后通过其它方式实现腐蚀处理掉所需除去的部分。刻蚀是半导体制造中重要的一环,其中尤以等离子体刻蚀重要。 /p p & nbsp & nbsp & nbsp & nbsp 根据Gartner 估算,刻蚀设备占整体建厂设备投资的 15%左右,其中介质刻蚀和硅刻蚀设备分别能占到其中的45%以上,金属刻蚀大概占到3-4%。2018-2020年国内晶圆厂建设对应的刻蚀设备市场空间分别为150、150、160 亿元,而其中介质刻蚀设备市场需求分别为74、76、80 亿元,硅刻蚀设备市场需求分别为71、73、77 亿元,金属刻蚀设备需求分别为4.5、5、5 亿元。 /p p & nbsp & nbsp & nbsp & nbsp 随着近些年半导体行业的火热,对刻蚀的研究更是成为了各大相关科研院所的一个研究热点,带动了相关刻蚀设备的采购,近日国内科研院更是接连发布数条刻蚀设备的招标公告。 /p p 其中,40%招标公告明确要求采购国产等离子体刻蚀机。 /p p 以下为本周以来的相关招标公告, /p h3 一、《中国科学院微电子研究所感应耦合等离子体刻蚀机采购项目公开招标公告》 /h3 p & nbsp & nbsp & nbsp & nbsp 项目概况: /p p & nbsp & nbsp & nbsp & nbsp 中国科学院微电子研究所感应耦合等离子体刻蚀机采购项目 招标项目的潜在投标人应在www.o-science.com获取招标文件,并于2020年09月01日 14点30分(北京时间) /p p 前递交投标文件。 /p p & nbsp & nbsp & nbsp & nbsp 项目编号:OITC-G200290831 /p p & nbsp & nbsp & nbsp & nbsp 项目名称:中国科学院微电子研究所感应耦合等离子体刻蚀机采购项目 /p p & nbsp & nbsp & nbsp & nbsp 预算金额:200.0 万元(人民币) /p p & nbsp & nbsp & nbsp & nbsp 最高限价(如有):200.0 万元(人民币) /p p & nbsp & nbsp & nbsp & nbsp 采购需求: /p p br/ /p p img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202008/uepic/d5d2bebd-0c45-4353-81be-b55bfb616c41.jpg" title=" 1.png" alt=" 1.png" / & nbsp & nbsp br/ /p p br/ /p h3 二、《南开大学材料科学与工程学院介质和磁性材料感应耦合等离子体刻蚀机采购项目公开招标公告》 /h3 p & nbsp & nbsp & nbsp & nbsp 项目概况: /p p & nbsp & nbsp & nbsp & nbsp 南开大学材料科学与工程学院介质和磁性材料感应耦合等离子体刻蚀机采购项目 招标项目的潜在投标人应在公允(天津)招标代理有限公司天津市南开区红旗南路仁爱濠景国际大厦A座6层601室)获取招标文件,并于2020年08月27日 09点30分(北京时间)前递交投标文件。 /p p & nbsp & nbsp & nbsp & nbsp 项目编号:NK2020S040N /p p & nbsp & nbsp & nbsp & nbsp 项目名称:南开大学材料科学与工程学院介质和磁性材料感应耦合等离子体刻蚀机采购项目 /p p & nbsp & nbsp & nbsp & nbsp 预算金额:250.79 万元(人民币) /p p & nbsp & nbsp & nbsp & nbsp 采购需求:详情请见项目需求书附件 /p h3 三、《山东大学激光离子束光刻刻蚀系统采购公开招标公告》 /h3 p & nbsp & nbsp & nbsp & nbsp 项目概况: /p p & nbsp & nbsp & nbsp & nbsp 山东大学激光离子束光刻刻蚀系统采购 招标项目的潜在投标人应在济南市高新区舜华路2000号舜泰广场6号楼2101室获取招标文件,并于2020年09月08日 14点30分(北京时间)前递交投标文件。 /p p & nbsp & nbsp & nbsp & nbsp 项目编号:SDSS20200639-F014 /p p & nbsp & nbsp & nbsp & nbsp 项目名称:山东大学激光离子束光刻刻蚀系统采购 /p p & nbsp & nbsp & nbsp & nbsp 预算金额:500.0 万元(人民币) /p p & nbsp & nbsp & nbsp & nbsp 最高限价(如有):500.0 万元(人民币) /p p & nbsp & nbsp & nbsp & nbsp 采购需求:山东大学采购激光离子束光刻刻蚀系统一套,用于研究开发相关科研工作。故拟采购一套激光离子束光刻刻蚀系统。(详见公告附件) /p h3 四、《西北师范大学物理与电子工程学院基础与应用研究平台建设项目公开招标公告》 /h3 p & nbsp & nbsp & nbsp & nbsp 西北师范大学招标项目的潜在投标人应在甘肃省公共资源交易网(http://ggzyjy.gansu.gov.cn/)获取招标文件,并于2020-09-04 11:00:00(北京时间)前递交投标文件。 /p p & nbsp & nbsp & nbsp & nbsp 项目编号:GSJY-ZC2020141 /p p & nbsp & nbsp & nbsp & nbsp 项目名称:西北师范大学物理与电子工程学院基础与应用研究平台建设项目 /p p & nbsp & nbsp & nbsp & nbsp 预算金额:194.23(万元) /p p & nbsp & nbsp & nbsp & nbsp 最高限价:194.23(万元) /p p & nbsp & nbsp & nbsp & nbsp 采购需求:双通道系统数字源表(进口产品已论证) 1套、加热型磁力搅拌器 1台、手套箱 1台、压力可控型电动纽扣电池封装机 1台、控温磁力搅拌器 1台、 span style=" color: rgb(255, 0, 0) " strong 等离子刻蚀机(进口产品已论证) 1套 /strong /span 、电子防潮箱 1台、等离子体清洗机 1套、视频接触角张力测量仪 1套、实验室纯水机 1 台、鼓风干燥箱 1台、手套箱配套机械泵 1套、正入射软X射线谱仪 1套、激光诱导击穿光谱-拉曼联用谱仪 1套、双模式双恒电位仪(进口产品已论证) 1套、高精度分析天平 1台、旋转环盘电极(进口产品已论证) 1套、金属空气电池测试系统(进口产品已论证) 1套 /p h3 五、《北京工业大学20内涵发展定额-教育部B类重点实验室建设经费项目(第2包)招标公告》 /h3 p & nbsp & nbsp & nbsp & nbsp 项目概况: /p p & nbsp & nbsp & nbsp & nbsp 北京工业大学20内涵发展定额-教育部B类重点实验室建设经费项目(第2包)招标项目的潜在投标人应在北京市东城区朝内大街南竹杆胡同6号北京INN3号楼9层获取招标文件,并于2020年09月02日09点30分(北京时间)前递交投标文件。 /p p & nbsp & nbsp & nbsp & nbsp 项目编号:BJJQ-2020-712-01、02、03 /p p & nbsp & nbsp & nbsp & nbsp 项目名称:北京工业大学20内涵发展定额-教育部B类重点实验室建设经费项目(第2包) /p p & nbsp & nbsp & nbsp & nbsp 预算金额:人民币325万元 /p p & nbsp & nbsp & nbsp & nbsp 第2包分包预算金额:人民币111.00万元 /p p & nbsp & nbsp & nbsp & nbsp 采购需求:等离子体化学气相沉积台1套、 strong span style=" color: rgb(255, 0, 0) " 高密度等离子刻蚀系统1套 /span /strong ,不允许采购进口产品 /p p & nbsp & nbsp & nbsp & nbsp 随着我国对半导体行业的大力支持,大量科研院所开始采购相关设备,半导体行业的生产检测等仪器设备前景广阔。 /p
  • 等离子技术在汽车产业中的应用
    “不是21万的小米SU7买不起,而是17万的宝马i3更有性价比!”由于全球经济的波动和市场消费能力的不断变化下,汽车行业今年大幅度降价,在消费者日益谨慎的消费心态和购买力受限的情况下,汽车行业的竞争变得尤为激烈。汽车制造商们在价格如此内卷的前提下,为提高市占率,需要其降低制造成本,这已经成为行业内的共识。然而,降低成本并不意味着牺牲产品的品质和性能。等离子技术作为汽车行业的新兴表面处理技术,可提高点胶、粘接、贴合、焊接、涂覆、封装等工艺段质量,满足汽车产业严格的生产要求,同时为汽车厂家提高产品良率,达到降本增效的目的。火焰法与等离子的对比火焰法是指利用高温气体或火焰对材料表面进行部分氧化以提升其表面的极性,从而提高表面能,达到提升表面附着力性能的目的,主要应用在汽车保险杠、门板、门槛、导流板等(PP)材料的外饰件。*图片源自网络,侵删但火焰法操作工序较为复杂,处理精准度低,温度较高时容易造成材料烫坏变形,且火焰法燃烧会产生二氧化碳等有害气体,不符合现今的环境保护政策。而等离子处理法则是通过高频电源电离出等离子体,等离子体中的活性粒子与材料表面发生物理或化学反应,改善其表面性质,如提高润湿性、降低表面张力等。与火焰法相比,等离子表面处理具有处理效果均匀、无明火室温处理,材料不易烫坏变形、环保无污染和适用范围广等优点。案例分享一、汽车内外饰汽车内外饰件普遍呈弯曲、凹凸等非平面造型,在喷漆、粘接、涂覆工艺前,可使用等离子设备对汽车内饰件、仪表板、储物盒、天窗导轨、车灯等内外饰件进行表面活化,确保后续工艺质量。*塑胶内饰件粘接前表面活化,改善表面润湿性,确保粘接质量*大尺寸弯曲、凹凸非平面的内饰件可使用真空等离子清洗机高效、全方位均匀地进行表面活化处理,不同规格的内饰件可定制相应尺寸的腔体。二、汽车传感器传感器在汽车领域的应用越来越广泛,同时对其各方面的性能要求越来越高,例如外壳与内部电子部件的粘接与密封的可靠性就非常重要。*图片源自网络,侵删采用等离子表面处理,可以提高相关材料的表面能,增加粘合强度,避免产生气泡,保证传感器的可靠性和使用寿命。3、 动力电池PCBA板汽车动力电池PCBA板在点胶、焊接、封装前可以使用大气等离子进行清洁活化,使得在后续点胶、焊接、封装工序中增强结合力,保证工序的质量。四、汽车摄像头表面除尘干式超声波除尘设备可以非接触式无损伤地解决汽车摄像头镜片在产线生产时运输环节产生的干燥浮沉颗粒污染物,降低产品污染率。*除尘率可达到97%-98%
  • MH-5000 便携式等离子体发射光谱仪
    佰汇兴业(北京)科技有限公司最新代理日本MICRO EMISSION MH-5000等离子体发射光谱仪,该仪器为一款利用液态电极等离子体来分析痕量金属的发射光谱仪,它通过向溶液施加电压以使其加热并蒸发,液体电极产生等离子体,溶液中的溶质被送入等离子体中产生发射光谱。它可以应用到冶金制造、工业废物处理和环境监测等领域中。 特点: 手持掌上型尺寸的实现(小型,便携式手持) 操作简单,初学者也可快速入门 电池驱动,可使用于现场测定 同时测定多种元素 检测极限0.1ppm~100ppm 工程管理、土壤测定、水质测定、食品测定
  • 王晓东:干法刻蚀引领半导体微纳加工
    p style=" text-align: justify text-indent: 2em " 10月15日-16日,中国科学院半导体研究所、仪器信息网联合主办首届“半导体材料与器件研究与应用”网络会议(i Conference on Research and Application of Semiconductor Materials and Devices, iCSMD 2020),22位业内知名的国内外专家学者聚焦半导体材料与器件的产业热点方向,进行为期两日的学术交流。会议期间,中科院半导体所、集成电路工程研究中心的王晓东研究员做了题为《半导体微纳加工中的硅干法刻蚀技术》的报告。 /p p style=" text-align: justify text-indent: 2em " 硅干法刻蚀即等离子体刻蚀技术,相对于湿法刻蚀,具有更好的各向异性,工艺重复性,且能降低晶圆污染几率,因此成为了亚微米下制备半导体器件最主要的刻蚀方法。在此次报告中,王晓东研究员介绍了三种不同的硅干法刻蚀技术。 /p p style=" text-align: justify text-indent: 2em " 据介绍,硅干法刻蚀的物理机制,主要包括物理溅射刻蚀、纯化学刻蚀、化学离子增强刻蚀和侧壁抑制刻蚀等。影响硅干法刻蚀效果的因素主要有三类:一是等离子体密度和能量,通过配备两套射频源,ICP和RF射频源来分别控制;二是腔室气压,由于鞘层的存在,一般需要气压小于100 mtorr使得离子平均自由程大于鞘层宽度;三是刻蚀气体选择,气体需要根据反应生成物是否容易去掉来选择,首选挥发性产物。 /p p style=" text-align: justify text-indent: 2em " 王晓东研究员重点介绍了三种硅干法刻蚀技术,即Bosch、Cryo、Mixed。Bosch通常刻蚀特征尺寸>1 μm,刻蚀深度>10 μm,刻蚀结果深且宽,即深硅刻蚀;Cryo即所谓的低温工艺,可以得到平滑侧壁以及纳米尺寸结果;Mixed刻蚀深度<10 μm,具有低的深宽比,也即浅硅刻蚀。 /p p style=" text-align: justify text-indent: 2em " 深硅刻蚀(Bosch)是目前应用最广泛,发展最成熟的硅刻蚀工艺。Bosch最初的基本工艺过程(Basic Bosch Process)就是钝化和刻蚀交替进行。此后,在其中加入轰击过程,发展出先进工艺(Advanced Bosch Process),即钝化、轰击和刻蚀三个过程不断循环,以此达到深硅刻蚀目的。Bosch工艺的优势是高速率、高各向异性和高选择比。其劣势为工艺复杂,晶片状况影响工艺过程,存在侧壁scallop等。同时,深硅刻蚀也存在一些典型的刻蚀问题:一是刻蚀剖面控制,如Undercut、Bowing、Bottling、Trenching、Footing等问题;二是负载效应,随硅暴露面积的增加,刻蚀速率和刻蚀均匀性都会降低,通常减少腔体气压可解决此问题;三是ARDE问题,即随着刻蚀深宽比的增加,刻蚀速率会下降,一般可通过增加沉积保护气体气压,增宽离子的角分布和加入刻蚀截止层等解决;四是Notching问题,即由于电荷积累造成钻蚀,可采用低频脉冲模式LF Pulse Mode来解决。 /p p style=" text-align: justify text-indent: 2em " 除深硅刻蚀外,下电极温度在液氮-100 ℃下,可以进行比较精细的刻蚀—低温刻蚀(Cryo)。与深硅刻蚀相比,其工艺气体不同,一般为SF sub 6 /sub 和O sub 2 /sub ,生成物SiO sub x /sub F sub y /sub 在-85 ℃时很容易形成,在室温下即可挥发,腔室环境非常干净。因此低温刻蚀可以得到侧壁光滑,undercut很小,选择比高的结果。但此时光刻胶会受影响,所以胶的厚度不能太厚,通常小于1.2 μm,且需要进行合适的烘烤,防止胶裂。 /p p style=" text-align: justify text-indent: 2em " 最后一种就是浅硅刻蚀(Mixed),即在相对较浅的刻蚀中(<10 μm),多采用同步刻蚀方法,钝化和刻蚀同步进行,所以也称同步工艺。与深硅刻蚀不同,浅硅刻蚀的刻蚀性气体与聚合物生成气体同时输入腔室,刻蚀和钝化同步进行,导致钝化和刻蚀的作用会在很大程度上抵消一部分,所以实现了光滑的侧壁(<100 nm)。但这使得刻蚀环境十分复杂,工艺窗口相对较窄,工艺重复性控制难度较大。与多步刻蚀相比,采用同步刻蚀方法进行刻蚀时,为获得较高的刻蚀速率和各向异性,刻蚀中所用射频功率和偏压较高,导致刻蚀材料和掩模之间的选择比低,刻蚀结果对掩模质量依赖性较强,对掩模材料和质量要求高。 /p p style=" text-align: justify text-indent: 2em " 在报告最后,王晓东研究员还介绍了半导体所在微纳器件制备中如MEMS、纳米波导、纳米线器件等方面的大量工作。 /p p style=" text-align: justify text-indent: 2em " 随着亚微米下制备半导体器件需求的增加,硅干法刻蚀技术也显得越来越重要,而半导体所所级公共技术服务中心具备上述技术能力。同时这是一个开放的平台,如果有相应的需求也可以进行合作参与。 /p
  • 精确跟踪芯片蚀刻过程,用高分辨率光谱仪监测等离子体
    在半导体行业,晶圆是用光刻技术制造和操作的。蚀刻是这一过程的主要部分,在这一过程中,材料可以被分层到一个非常具体的厚度。当这些层在晶圆表面被蚀刻时,等离子体监测被用来跟踪晶圆层的蚀刻,并确定等离子体何时完全蚀刻了一个特定的层并到达下一个层。通过监测等离子体在蚀刻过程中产生的发射线,可以精确跟踪蚀刻过程。这种终点检测对于使用基于等离子体的蚀刻工艺的半导体材料生产至关重要。等离子体是一种被激发的、类似气体的状态,其中一部分原子已经被激发或电离,形成自由电子和离子。当被激发的中性原子的电子返回到基态时,等离子体中存在的原子就会发射特有波长的辐射光,其光谱图可用来确定等离子体的组成。等离子体是用一系列高能方法使原子电离而形成的,包括热、高能激光、微波、电和无线电频率。实时等离子体监测以改进工艺等离子体有一系列的应用,包括元素分析、薄膜沉积、等离子体蚀刻和表面清洁。通过对等离子体样品的发射光谱进行监测,可以为样品提供详细的元素分析,并能够确定控制基于等离子体的过程所需的关键等离子体参数。发射线的波长被用来识别等离子体中存在的元素,发射线的强度被用来实时量化粒子和电子密度,以便进行工艺控制。像气体混合物、等离子体温度和粒子密度等参数都是控制等离子体过程的关键。通过在等离子体室中引入各种气体或粒子来改变这些参数,会改变等离子体的特性,从而影响等离子体与衬底的相互作用。实时监测和控制等离子体的能力可以改进工艺和产品。一个基于Ocean Insight HR系列高分辨率光谱仪的模块化光谱装置用于监测等离子体室引入不同气体后,氩气等离子体发射的变化。测量是在一个封闭的反应室中进行的,光谱仪连接光纤和余弦校正器,通过室中的一个小窗口观察。这些测量证明了模块化光谱仪从等离子体室中实时获取等离子体发射光谱的可行性。从这些发射光谱中确定的等离子体特征可用于监测和控制基于等离子体的过程。等离子体监测可以通过灵活的模块化设置完成,使用高分辨率光谱仪,如Ocean Insight的HR或Maya2000 Pro系列(后者是检测UV气体的一个很好的选择)。对于模块化设置,HR光谱仪可以与抗曝光纤相结合,以获得在等离子体中形成的定性发射数据。从等离子体室中形成的等离子体中获取定性发射数据。如果需要定量测量,用户可以增加一个光谱库来比较数据,并快速识别未知的发射线、峰和波段。监测真空室中形成的等离子体时,一个重要的考虑因素是与采样室的接口。仪器部件可以被引入到真空室中,或者被设置成通过视窗来观察等离子体。真空通管为承受真空室中的恶劣条件而设计的定制光纤将部件耦合到等离子体室中。对于通过视口监测等离子体,可能需要一个采样附件,如余弦校正器或准直透镜,这取决于要测量的等离子体场的大小。在没有取样附件的情况下,从光纤到等离子体的距离将决定成像的区域。使用准直透镜可以获得更局部的收集区域,或者使用余弦校正器可以在180度的视野内收集光线。测量条件HR系列高分辨率光谱仪被用来测量当其他气体被引入等离子体室时氩等离子体的发射变化。光谱仪、光纤和余弦校正器通过室外的一个小窗口收集发射光谱,对封闭反应室中的等离子体进行光谱数据采集(图1)。图1:一个模块化的光谱仪设置可以被配置为真空室中的等离子体测量。一个HR2000+高分辨率光谱仪(~1.1nm FWHM光学分辨率)被配置为测量200-1100nm的发射(光栅HC-1,SLIT-25),使用抗曝光纤(QP400-1-SR-BX光纤)与一个余弦校正器(CC-3-UV)耦合。选择CC-3-UV余弦校正器采样附件来获取等离子体室的数据,以解决等离子体强度的差异和测量窗口的不均匀问题。其他采样选项包括准直透镜和真空透镜。结果图2显示了通过等离子体室窗口测量的氩等离子体的光谱。690-900纳米的强光谱线是中性氩(Ar I)的发射线,400-650纳米的低强度线是由单电离的氩原子(Ar II)产生的。图2所示的发射光谱是测量等离子体发射的丰富光谱数据的一个例子。这种光谱信息可用于确定一系列关键参数,以监测和控制半导体制造过程中基于等离子体的工艺。图2:通过真空室窗口测量氩气等离子体的发射。氢气是一种辅助气体,可以添加到氩气等离子体中以改变等离子体的特性。在图3中,随着氢气浓度的增加添加到氩气等离子体中的效果。氢气改变氩气等离子体特性的能力清楚地显示在700-900纳米之间的氩气线的强度下降,而氢气浓度的增加反映在350-450纳米之间的氢气线出现。这些光谱显示了实时测量等离子体发射的强度,以监测二次气体对等离子体特性的影响。观察到的光谱变化可用于确保向试验室添加最佳数量的二次气体,以达到预期的等离子体特性。图3:将氢气添加到氩等离子体中会改变其光谱特性。在图 4 和 5 中,显示了在将保护气添加到腔室之前和之后测量的等离子体的发射光谱。 保护气用于减少进样器和样品之间的接触,以减少由于样品沉积和残留引起的问题。 在图 4中,氩等离子体发射光谱显示在加入保护气之前,加入保护气后测得的发射光谱如图5所示。保护气的加入导致了氩气发射光谱的变化,从400纳米以下和~520纳米处的宽光谱线的消失可以看出。图4:加入保护气之前,在真空室中测量氩等离子体的发射。图5:加入保护气后,氩气发射特性在400纳米以下和~520纳米处有明显不同。结论紫外-可见-近红外光谱是测量等离子体发射的有力方法,以实现元素分析和基于等离子体过程的精确控制。这些数据说明了模块化光谱法对等离子体监测的能力。HR2000+高分辨率光谱仪和模块化光谱学方法在测量等离子体室条件改变时,通过等离子体室的窗口测量等离子体发射光谱,效果良好。还有其他的等离子体监测选项,包括Maya2000 Pro,它在紫外光下有很好的响应。另外,光谱仪和子系统可以被集成到其他设备中,并与机器学习工具相结合,以实现对等离子体室条件更复杂的控制。以上文章作者是海洋光学Yvette Mattley博士,爱蛙科技翻译整理。世界上第一台微型光谱仪的发明者海洋光学OceanInsight,30年来专注于光谱技术和设备的持续创新,在光谱仪这个细分市场精耕细作,打造了丰富而差异化的产品线,展现了光的多样性应用,坚持将紧凑、便携、高集成度以及高灵敏度、高分辨率、高速的不同设备带给客户。2019年,从Ocean Optics更名为Ocean Insight,也是海洋光学从光谱产品生产商转型为光谱解决方案提供商战略调整的开始。此后,海洋光学不仅继续丰富扩充光传感产品线,且增强支持和服务能力,为需要定制方案的客户提供量身定制的系统化解决方案和应用指导。作为海洋光学官方授权合作伙伴,爱蛙科技(iFrogTech)致力于与海洋光学携手共同帮助客户面对问题、探索未来课题,为打造量身定制的光谱解决方案而努力。如需了解更多详情或探讨创新应用,可拨打400-102-1226客服电话。关于海洋光学海洋光学作为世界领先的光学解决方案提供商,应用于半导体、照明及显示、工业控制、环境监测、生命科学生物、医药研究、教育等领域。其产品包括光谱仪、化学传感器、计量检测设备、光纤、透镜等。作为光纤光谱仪的发明者,如今海洋光学在全球已售出超过40万套的光纤光谱仪。关于爱蛙科技爱蛙科技(iFrogTech)是海洋光学官方授权合作伙伴,提供光谱分析仪器销售、租赁、维护,以及解决方案定制、软件开发在内的全链条一站式精准服务。
  • 中智科仪逐光IsCMOS像增强相机拍摄激光诱导等离子体羽流
    1、应用背景   等离子体是区别于固体、液体和气体的第四种物质聚集状态。在高能环境下,原子的外层电子摆脱原子核的束缚成为自由电子,失去电子的原子变成带正电的离子,这个过程叫电离,这种电离气体就是等离子体,通常由带电离子、自由电子、基态/激发态分子原子和自由基等粒子组成。等离子体在自然界中广泛存在,如太阳、恒星、星际物质、闪电等都是等离子体。   激光诱导等离子体(Laser-Induced Plasma, LIP)是通过激光与物质相互作用产生的一种高温、高密度的等离子体状态物质。当高能量的激光脉冲照射到物体表面时,会使得物质迅速加热并部分或完全电离,形成等离子体。伴随形成的等离子体羽流的演化过程具有超高速、持续时间短(一般几百纳秒)、强自发光背景和小空间尺度的特点,这使得其观测变得具有挑战性。   本次实验采用中智科仪的逐光IsCMOS像增强相机(TRC411),拍摄了激光诱导等离子体羽流的形貌演化过程。基于逐光IsCMOS像增强相机的纳秒级快门门控、高精度的时序同步技术和变延迟序列推扫功能,记录了等离子体羽流的完整演化过程。 2、实验方案   实验设备:   中智科仪逐光IsCMOS像增强相机,型号:TRC411-S-HQB-F F2UV100大通量紫外镜头。   实验室所用激光器为镭宝Dawa-200灯泵浦电光调Q纳秒Nd:YAG激光器,波长1064nm,重复频率1-20Hz。采用激光器Q-out输出触发TRC411相机的方式,对相机Gate通道进行变延迟序列推扫,寻找相机与激光器的同步时刻。   实验流程:   1.实验材料被激发的等离子体羽发光在200nm-500nm左右,因此在镜头前端安装一个430nm的带通滤光片,屏蔽掉1064nm的激发激光和其他杂散光。需要注意观察成像画面中是否有强反射材料,比如样品台的光滑金属反光面或螺丝帽等,为了防止这些强烈反射面的反射光对相机造成损害,需要使用黑色电工胶带将它们遮挡或覆盖。   2. 激光器的Q-out触发输出接到示波器,测得同步输出的TTL信号电平为5V@1MΩ,频率与激光输出频率匹配,均为5Hz。TRC411相机可接受的最大外触发信号电平为5V,保守起见,在触发线末端加入了6dB衰减器,将激光器Q-out输出电平减半。   3. 由于等离子体的发光强度较大,无法确定所使用的滤光片的衰减倍率是否足够,因此首先将镜头光圈调至最小,设置增益为1800,Gate时间13ns(对应光学门宽3ns)。   软件参数设置如下表:   4. 对Gate通道进行变延迟序列扫描,最终找到Gate延时起止时刻在700ns至1100ns之间时,可以捕获到等离子体的发光信号。   软件参数设置界面: 3、实验结果   序列采集SEQ曲线:   根据曲线可以看到实验材料被激发的等离子体发光持续时间约为400ns。   高功率纳秒脉冲激光激发产生的完整等离子体羽形貌演变过程: 4、结论   中智科仪逐光IsCMOS像增强相机具有短至纳秒级的快门,超短的门控可以屏蔽背景噪声,提高信噪比。相机内置的高精度时序控制器可以确保相机与脉冲激光器的同步工作,在确定的延迟捕获等离子体信号。相机的变延迟序列扫描功能可以使相机快速拍摄不同延迟时刻的等离子体信号,获得完整的等离子体演化过程。诸多优势展示了TRC411相机在等离子体诊断方面的重要应用价值。   免责说明:中智科仪(北京)科技有限公司公众号发布的所有内容,包括文字和图片,主要基于授权内容或网络公开资料整理,仅供参考。所有内容的版权归原作者所有。若有内容侵犯了您的权利,请联系我们,我们将及时处理。 5、解决方案   由中智科仪自主研发生产的逐光IsCMOS像增强相机采用高量子效率低噪声的2代Hi-QE以及第3代GaAs像增强器,光学门宽短至500皮秒 全分辨率帧速高达98幅/秒 内置皮秒精度的多通道同步时序控制器,由SmartCapture软件进行可视化时序设置,完全适合时间分辨快速等离子现象。   1. 500皮秒光学快门   以皮秒精度捕捉瞬态现象,并大幅降低背景噪声。   2.超高采样频率   逐光IsCMOS相机目前全分辨率下可达98帧,提供高速数据采集速率,同时可提供实验效率。此外设置使用其中16行的区域下,可以达到1300帧以上。   3.精准的时序控制   逐光IsCMOS像增强相机具有三路独立输入输出的时序同步控制器,最短延迟时间为10皮秒,内外触发设置可实现与激光器以及其他装置精准同步。   4. 创新“零噪声”技术   得益于单光子信号的准确识别,相机的暗噪声及读出噪声被完全去除。
  • 改进晶圆制造工艺,探索蚀刻终点的全光谱等离子监测解决方案
    改进晶圆制造工艺,探索蚀刻终点的全光谱等离子监测解决方案满足当今技术创新的繁荣发展和复杂多变的产业环境,半导体代工厂需要定量、准确和高速的过程测量。海洋光学(Ocean Insight)与等离子蚀刻技术的领先创新者合作,探索适用于检测关键晶圆蚀刻终点的全光谱等离子监测解决方案。客户面临的挑战随着全球对半导体的需求迅速增长,该行业已做好投资于节约成本的工艺改进以及开发日益复杂的半导体设计和配方的准备。为了满足当今的技术繁荣并应对不断扩大的市场,半导体代工厂需要定量、准确和高速的过程测量。半导体和微机电系统 (MEMS) 正在达到设计极限,通过减小尺寸或提高速度来进一步改进几乎是不可能的。相反,制造商专注于晶圆质量、可重复性和整体良率,以及提高产能。目标是满足对智能电子产品不断增长的需求,同时保持生产成本和价格竞争力。我们的观点微弱等离子体或晶圆光谱的快速分析有助于完善蚀刻工艺参数,同时提高晶圆质量。基于光谱仪的等离子体测量与强大的软件相结合,可以说明等离子体、腔室和视口条件的变化状态,并对来自深蚀刻或薄设计特征的最微弱信号敏感。光谱有助于使终点检测更加精确,从而可以设计出更复杂的晶片形状和图案。由于制造商可以更准确地停止和启动生产过程,因此可以制造更小的特征,同时减少错误和减少晶圆上的不可用空间。另外,随着终点检测变得更加精确,可以使用更薄的不同材料层,即使它们产生微弱的、难以分辨的光谱特征和更紧密排列的峰值。解决方案海洋光学与半导体行业领先的设备供应商合作,共同推进终点检测技术。我们定制了光谱仪(Ocean SR2 和 Ocean HDX 是等离子监测应用的理想选择),以提供半导体制造所需的快速、高灵敏度、精确分辨率和多功能连接能力。借助海洋光学硬件和支持,设备供应商不断改进和完善其为半导体行业提供的蚀刻技术。其在等离子处理和先进封装解决方案方面的领先地位支持与无线设备、光子学、固态照明和 MEMS 设备相关的新兴技术。
  • 光电材料/器件最新等离子技术应用探讨——记牛津仪器等离子技术部用户交流会
    p    strong 仪器信息网讯 /strong 2016年11月17日,由牛津仪器等离子技术部和中山大学共同举办的“牛津仪器-中山大学用户学术交流研讨会”在名校环抱的广州南国会国际会议中心召开。近80名来自中山大学、华南理工大学等高校的用户专家代表及牛津仪器等离子技术部高层、应用专家参加了此次研讨会。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201611/insimg/ca7b023b-75cb-421c-8bb9-ec46c373a9a6.jpg" title=" 1.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) background-color: rgb(255, 255, 255) " strong 研讨会签到处 /strong /span /p p   此次交流会主题为“光电子材料及器件研讨”,旨在通过用户之间、用户与设备厂商的深入交流探讨,提高光电材料、器件等相关学科工作者的学术和技术水平,并促进等离子技术在刻蚀、沉积和生长等领域的应用和发展。 /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201611/insimg/15175e9c-fd9b-4d6e-82ec-c7f63c493f55.jpg" title=" 2.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) background-color: rgb(255, 255, 255) " strong 研讨会现场 /strong /span /p p   研讨会以七个大会报告和现场交流的形式进行。大会报告上,用户专家及牛津仪器应用专家为大家带来7个精彩报告,内容涉及二维材料的生长机理和应用、携带轨道角动量光束的产生和调控、功率器件的应用、层状过渡金属氧化物材料研究、ALD和ALE技术介绍及应用、以及薄膜晶体管的新兴应用等。现场交流部分,大家针对报告内容展开积极交流互动,展现出一派活跃的学术氛围。 /p p   为了让广大网友对牛津仪器等离子体技术部及相关用户进一步了解,仪器信息网编辑现场采访了牛津仪器等离子科技部亚洲区销售和服务副总裁 WRIGHT Ian、牛津仪器等离子科技部中国区经理陈世伟,以及中山大学王凯教授。 /p p    span style=" color: rgb(255, 255, 255) background-color: rgb(112, 48, 160) " strong 通过合办交流会——用户与厂商实现共赢 /strong /span /p p   作为薄膜晶体管领域的专家,王凯教授此次参会可谓双重身份,一方面是牛津仪器的用户,并做了薄膜晶体管新兴应用的精彩报告,另一方面,王凯教授所在中山大学还是此次交流会的合办方。提及本次研讨会的举办意义,王凯教授讲到:“这种活动为我们科研工作者提供了一个很好的经验分享机会。通过用户之间的交流及牛津仪器应用专家的讲解,用户可以学习到一些宝贵的设备使用经验以及设备的一些新的应用。比如此次交流会中,我可能会关注薄膜晶体管在能量采集、传感、生物医药等非显示领域的仪器设备应用等相关内容。” /p p   同时,陈世伟经理也表示:“通过这样与用户面对面的交流活动,首先,牛津仪器可以获得用户关于设备使用情况的反馈,从而指导我们更好的服务 其次,更重要的是,我们还可以了解科研用户的一些最新研发动态或用户直接提出的对设备的新需求,这些宝贵信息对我们新品研发都具有重要指导意义。比如,当下,石墨烯二维材料领域对于相关科研用户是一个十分热门的领域,与之对应的石墨烯及二维材料解决方案便成为我们的研发重点,而且,我们也很自豪牛津仪器已率先推出了石墨烯及二维材料行业解决方案。” /p p    span style=" color: rgb(255, 255, 255) background-color: rgb(112, 48, 160) " strong 牛津仪器等离子体技术部:注重高端设备研发,更多企业用户是发展趋势 /strong /span /p p   此次用户交流活动得到了牛津仪器的高度重视,多位牛津仪器总部高层和应用专家都参加了此次活动,等离子科技部亚洲区销售和服务副总裁 WRIGHT Ian就是其中一位。WRIGHT Ian先生在介绍等离子科技部时说:“从产品角度讲,我们主要提供刻蚀、沉积和生长设备,为材料的微米、纳米级工程提供工艺方法。牛津仪器向来重视并擅长高端科研级仪器设备的研发。比如,随着各种器件尺寸的逐渐微小化趋势,在小尺寸器件上实现刻蚀和沉积的同时保证低损伤,对于设备及工艺提出了更高的要求,而我们独到的ALD和ALE技术则完全可以保证这种工艺的实现。” /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201611/insimg/ef455111-cbc6-4292-b7b1-714770388fe4.jpg" title=" 3.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) background-color: rgb(255, 255, 255) " strong 采访现场 /strong /span /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " (左一:牛津仪器等离子科技部亚洲区销售和服务副总裁 WRIGHT Ian,左二:牛津仪器等离子科技部中国区经理陈世伟,左三:中山大学王凯教授) /span /p p   接着,陈世伟经理补充道:“目前,等离子体技术部在中国的客户主要集中在前沿晶片研发的高校院所,但随着科技发展的不断深入,企业用户逐渐增加是未来发展的必然趋势,比如我们在日本市场已经有部分企业用户出现,相信在中国当下科技研发投入不断增多的大背景下,中国市场的企业用户变得更多也只是时间问题。” /p p    span style=" color: rgb(255, 255, 255) background-color: rgb(112, 48, 160) " strong 以客户需求为导向——牛津仪器与客户共成长 /strong /span /p p   2016年已接近尾声,当被问及牛津仪器等离子体技术部的业绩表现时,WRIGHT Ian表示:“我很高兴我们在中国市场的业绩是保持稳定增长的,这除了得益于我们优秀的产品和完善的服务,也离不开我们对客户需求的足够重视。比如我们除了对客户进行一对一拜访、举行用户会、学术会等之外,还会针对那些对设备有特殊需求的科研客户提供定制化服务。并且,在帮助客户解决问题的同时,我们也实现了与客户的共同成长。” /p p   “我十分看好中国市场的发展前景,接下来,对于中国市场,我们会在产品研发及市场服务方面加大投入。”对于在中国市场的下一步计划,WRIGHT Ian讲到,“另外,在生产型客户的开拓上我们也会加大力度。” /p p style=" text-align: center" img src=" http://img1.17img.cn/17img/images/201611/insimg/bbef5148-e93a-4edd-8621-d6599e36010e.jpg" title=" 4.jpg" style=" width: 450px height: 300px " height=" 300" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) background-color: rgb(255, 255, 255) " strong 全体合影留念 /strong /span /p p strong & nbsp & nbsp span style=" font-family: 宋体, SimSun " 后记 /span /strong /p p    span style=" font-family: 楷体, 楷体_GB2312, SimKai " 据悉,此次交流会之所以选择在周围不算繁华的广州南国会国际会议中心召开,主要是考虑到此次参会人员中有许多大学城高校用户,选择在高校附近进行交流活动可以尽量减少对他们正常科研工作的影响。另外,笔者在茶歇期间还发现,一些用户正在填写牛津仪器的调研问卷,这些问卷中不仅包含了“功能是否够用”、“性能如何”、“易用性如何”等关于产品的评价,还包含了“培训交流”、“响应速度”、“交流态度”等服务评价。据牛津仪器工作人员介绍,“这样的评价调研,几乎涵盖了我们每次的线上线下活动,是我们获取客户反馈的最快途径,这些声音,也为我们从产品到服务的下一步策略提供了重要参照和导向。” /span /p p span style=" font-family: 楷体, 楷体_GB2312, SimKai "   从事事为客户着想,到时时因客户而动,也许这便是牛津仪器能够不断在中国取得佳绩的原因。每个客户都有雪亮的眼睛,企业若能以客户需求为己任,把帮助客户取得成功当作一项终极目标,那么,相信这样的企业也一定能够在与客户的一起成长中实现共赢。 /span /p
  • 大连理工大学突破等离子体工艺腔室仿真软件,助力半导体关键设备研发
    超大规模集成电路(ULSI)产业直接关系到国家的经济发展、信息安全和国防建设,是衡量一个国家综合实力的重要标志之一。在半导体芯片制备过程中,约有三分之一的工序要使用等离子体技术,因此配备等离子体工艺腔室的材料刻蚀和薄膜沉积设备是ULSI制造工艺的核心。目前,半导体工艺中最常用的两种等离子体源是CCP(容性耦合等离子体)和ICP(感应耦合等离子体)。等离子体工艺腔室制造过程极为复杂,不仅涉及精密机械加工技术,还要统筹考虑电源、气体、材料等外部参数的优化,以及与晶圆处理工艺的兼容性。如果采用传统的“实验试错法”,不仅成本巨大,而且延长了设备的研发周期,将严重制约我国ULSI产业的快速发展。因此,采用建模仿真与实验诊断相结合的方式、为等离子体工艺腔室的研发与优化提供方案,成为一种必然趋势。等离子体放电过程是极其复杂的,受到多种外界参数的控制,如电源功率与频率、气体成分与压强、腔室尺寸及材料属性等。此外,等离子体系统还包含了多空间尺度和多时间尺度的变化,以及多物理化学场的耦合过程。例如等离子体、鞘层、表面微槽等空间特征尺度相差10个量级;电磁场、带电粒子、中性气体及化学反应等时间特征尺度相差9个量级。如此复杂的等离子体工艺环境,给物理建模和数值仿真都带来了巨大挑战。物理学院PSEG团队在王友年教授的带领下,自2005年开始,历经近二十年时间,在国内率先研发出具有自主知识产权的等离子体工艺腔室仿真软件——MAPS(Multi-Physics Analysis of Plasma Sources)。通过采用物理建模、数值仿真与实验诊断相结合的方法,解决了制约等离子体工艺腔室设计和制造中的一些关键技术难题,为我国研发具有自主知识产权的等离子体工艺腔室提供了技术支撑。MAPS是一款专门面向等离子体工艺腔室的数值模拟软件平台,可以同时为等离子体工艺腔室的参数设计和表面处理工艺(材料刻蚀和薄膜沉积)的结果预测提供模拟服务。基于不同的等离子体模型,MAPS包含不同的数值模拟方法,如粒子/蒙特卡洛碰撞模拟方法、流体力学模拟方法、流体力学/蒙特卡洛碰撞混合模拟方法、整体模型模拟方法等。软件平台包含输入部分、输出部分以及七大模块,分别是等离子体模块、中性气体模块、电磁模块、鞘层模块、化学反应模块、表面模块及实验验证模块。此外,PSEG团队研制了结构可变的大面积、多功能等离子体实验平台和多套CCP和ICP放电平台,并自主研发了射频磁探针、微波发卡探针、光探针、吸收光谱诊断系统、布拉格光栅测温系统、悬浮双探针等诊断工具和集成了商用的Langmuir探针、质谱仪、离子能量分析仪、光谱仪、ICCD及光致解离负离子诊断系统等。这些诊断手段为等离子体源多参数诊断提供条件。大量研究表明,MAPS的模拟结果与实验测量结果在量级和变化趋势上达到一致,证明了MAPS仿真软件的可靠性。近期,针对工业中常用的CCP源,MAPS仿真软件提供了一种新的快速仿真算法:基于多时间步长、泊松方程的半隐式修正、超松弛迭代等,可以将模拟速度提高几十倍。此外,针对ICP源,PSEG团队也建立了一种新的双极扩散近似模型,可以对带有射频偏压的感性耦合放电过程进行仿真。该方法不仅模拟速度快,还适用于低气压放电。MAPS仿真软件具有外界控制参数多、耦合物理场多、数值求解器多、数值仿真模型多等优势,能够对ICP刻蚀机、CCP刻蚀机、PECVD(等离子体增强化学气相沉积)和PVD(物理气相沉积)工艺腔室进行仿真,支持对优化工艺过程参数的进一步探索,受到了国内的多家半导体设备制造企业的青睐。近十年中,MAPS仿真软件已分别为北方华创、中微半导体设备(上海)、拓荆科技、苏州迈为、武汉长江存储及理想能源设备(上海)等多家企业提供仿真服务。未来,PSEG团队将继续专注于对MAPS仿真软件的完善和升级,希望可以为半导体、光伏及平板显示等产业的创新与发展注入源源不断的强劲动力。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制