当前位置: 仪器信息网 > 行业主题 > >

半导体新品

仪器信息网半导体新品专题为您整合半导体新品相关的最新文章,在半导体新品专题,您不仅可以免费浏览半导体新品的资讯, 同时您还可以浏览半导体新品的相关资料、解决方案,参与社区半导体新品话题讨论。

半导体新品相关的资讯

  • 盛美上海发布新品 进入半导体前道光刻领域
    11月18日,盛美上海通过线上会议举行了科创板上市周年庆暨新品发布会。此次发布的新产品是前道涂胶显影设备Ultra LITH,这标志着盛美上海正式进入半导体前道光刻领域。据介绍,该新品用于前道300mm晶圆的涂胶显影,具有4个12英寸装载端口,8个涂胶腔和8个显影腔,可进一步扩展到12个涂胶腔和12个显影腔,适用于i-line、KrF和ArF等多种材料的涂胶显影工艺。据悉,Ultra LITH设备采用自主全球专利保护的全新系统结构设计,拥有稳定的电控架构及强大的软件系统,且具可扩张性,可以支持300 WPH及未来下一代高产出光刻机400 WPH的更高产出需求;搭载高速稳定的机械手系统,多机械手协同配合,可实现晶圆传输路径的优化,提高传输效率。同时,该设备的内部气流分布进行了优化处理,可减少颗粒污染,强大的清洗技术亦可支持未来浸没式光刻机对硅片背面的颗粒清洗需求;此外,分区控制的高精度热板由公司自主研发,已达到业界先进水平。且该设备适配性强,支持主流的光刻机接口。自2021年11月18日在科创板上市至今,盛美上海持续推进产品多元化战略,共计发布8款产品,涉及半导体及新型化合物半导体制造工艺的电镀、清洗、薄膜沉积、涂胶显影等多个环节。
  • 这款半导体设备荣获3i奖-优秀新品2024年度“提名奖”
    仪器信息网讯 “仪器及检测3i奖”(创新Innovative、互动Interactive、整合Integrative,简称“3i奖”),始于2006年,是由信立方旗下网站——仪器信息网和我要测网联合举办,随着科学仪器及检验检测行业的发展需求,应运而生。其中,3i奖中重要奖项之一,“3i奖-科学仪器行业优秀新品”,旨在将在中国仪器市场上推出的、创新性比较突出的国内外仪器产品全面、公正、客观地展现给广大的国内用户。该活动自推出以来,受到越来越多的仪器用户、国内外仪器厂商以及相关媒体的关注和重视。“3i奖-科学仪器行业优秀新品”(以下简称优秀新品)评选活动2024年度上半年“提名奖”评审已经结束,经网络评审团评审,技术评审委员会主席团审核,现已确定2024年度上半年“提名奖”名单。2024年1月1日-2024年7月23日期间申报的2024年度上市新品共179台,荣获上半年年度“提名奖”的新品共有37台,比例约为21%;其中,1台半导体行业专用仪器设备获得提名。半导体行业专用仪器2024年度上半年“提名奖”获奖名单仪器名称行业分类型号公司名称晶圆级原子力显微镜半导体行业专用仪器设备Wafer Mapper-M致真精密仪器(青岛)有限公司仪器创新点晶圆级兼容性:设计用于直接在大尺寸晶圆上进行测量,最大支持高达300mm的晶圆。这一特点使其特别适合于半导体制造过程中的缺陷检测、表面粗糙度测量和材料特性评估。高分辨率成像:采用了先进的探针技术和改进的反馈控制系统,显著提高了AFM的空间分辨率。这使得能够观察到更细微的表面结构和特征,对于研究材料的微观性质和行为至关重要。多模式测量能力:结合了多种AFM模式,如接触模式、轻敲模式、横向力显微镜、磁力显微镜、压电力显微镜等,提供了更灵活的测量选项。这使得能够根据不同的样品特性和测量需求选择最合适的模式,扩大了应用范围。需要特别指出的是,本次评选仅限于2024年上市、2024年7月23日之前申报的仪器新品。有些厂商虽然在网上进行了申报,但在规定时间内没有能够提供详细、具体的仪器创新点说明,有说服力的证明材料以及详细的仪器样本,因此这次没有进入名单。另外,非独家代理的代理商提供的优秀国外新品也不能入选。由于本次参与申报的厂家较多,产品涉及门类也较多,对组织认定工作提出了很高的要求,因此不排除有些专业性很强的仪器未被纳入评审范围。该名单将在仪器信息网进行为期10天的公示。所有新品的详细资料均可在新品栏目进行查阅,如果您发现榜单中仪器填写的资料与实际情况不符,或非2024年上市的仪器新品,请您于2024年9月10日前向“3i奖-科学仪器行业优秀新品”评审委员会举报和反映情况,一经核实,将取消其入围资格。“3i奖-科学仪器行业优秀新品”评审委员会联系方式:电话:010-51654077-8027 刘女士传真:010-82051730电子信箱:xinpin@instrument.com.cn————————————————————————————————————“仪器及检测3i奖”,简称“3i奖”(创新Innovative、互动Interactive、整合Integrative),始于2006年,是由信立方旗下网站——仪器信息网和我要测网联合举办,随着科学仪器及检验检测行业的发展需求,应运而生。截至目前已设有12类奖项,记录了行业发展路上的熠熠星光。3i奖作为行业公益奖项,始终秉承着“公正、公平、公开 ”的原则,依托信立方长期合作的业内权威专家和数千万用户进行评审,遴选出代表技术发展趋势的创新产品、表彰科学仪器及检测行业表现卓越的企业、企业家和具有特殊贡献的研发人物等,弘扬正能量,促进行业高速发展。了解更多3i奖详情:https://www.instrument.com.cn/event/prize
  • 滨松新品,诚邀测试| 聚焦第三代半导体材料质量检测新方法
    图1 GaN晶格结构图(图源:滨松中国官网)GaN材料是第三代半导体材料之一,被广泛应用于电子、光电子和通信等领域,因此实现GaN材料质量定量评估显得尤为重要。而IQE又是 GaN 单晶体质量评估所必需的参数。因此,在设计和制造GaN单晶体时,需要对IQE参数进行充分的考虑和评估,以确保其性能符合要求。ODPL测量系统图2 ODPL 测量系统(图源:滨松中国官网) 为了更好地定量评估GaN晶体质量,滨松公司和日本东北大学合作研发了一套基于积分球的全向光致发光系统(简称ODPL)。 ODPL 测量系统使用积分球来测量全向光致发光光谱并确定样品的发射效率,利用独自的计算方法得出GaN 晶体的IQE,对结构缺陷和杂质有无等质量问题进行量化来实现精准评估。图3 基于积分球的测量原理示意图产品应用GaN/SiC晶体性能定量分析半导体晶体杂质有无检测 半导体晶体结构缺陷 钙钛矿材料测量ODPL测量系统新品到货,欢迎预约免费测试利用吸收波长区域重叠的绿色光仅在晶体上方发光的特性,通过ODPL成功地计算IQE。结果表明,IQE至少达到62.5%,并且IQE会随着甲基离子的过量和不足,而大幅波动。 图4 钙钛矿材料的IQE测量免费测试通道长按识别上方二维码,提交预约测试申请等待客服联系寄送样品等待的测试完成,免费获取完整的数据
  • SRII重磅推出两款ALD新品,满足泛半导体应用多功能性和灵活性的需求
    原子层沉积(ALD)工艺被认为是逻辑和存储半导体器件微缩化的重要推动力。过去20年,ALD工艺及设备已经广泛应用于逻辑和存储器件的大批量制造,不断推动诸如动态随机存取存储器(DRAM)、先进的鳍式场效应晶体管(FinFET)以及栅极环绕晶体管等器件性能的改进与创新。随着摩尔定律放缓,ALD工艺逐渐渗透到更多应用领域,如超摩尔(More-than-Moore,MtM)器件的生产中,正在推动新的架构、材料和性能的改进。调研机构Yole Développement报告显示,全球晶圆厂产能扩张的举措正在推动ALD设备销量的飙升。预计未来几年,ALD设备在超摩尔应用的市场规模将持续增长,其中2020-2026年的年复合增长率为12%,在2026年有望达到6.8亿美元。紧跟2022市场需求,SRII推出两款重磅新品拓展应用布局致力于满足半导体制造领域不断增长的技术需求,业界领先的ALD设备制造商和服务商—青岛四方思锐智能技术有限公司(以下简称思锐智能或SRII)旗下Beneq品牌全新设计并重磅推出了两款用于半导体器件制造的新产品:Prodigy和Transform300。SRII旗下Beneq品牌半导体业务负责人Patrick Rabinzohn表示:“进入2022年,更多样化、更复杂的新兴半导体应用正在崛起,Prodigy专为化合物半导体制造而设计,包括射频集成电路(GaAs / GaN / InP)、LED、VCSEL、光探测器等相关领域的MEMS制造商和代工厂,将受益于全新的Prodigy系列,以高性价比实现具有市场竞争力的ALD批量处理能力,并有效提升器件的性能和可靠性。Transform300则在原本优势的Transform系列上继续扩充,进一步适用300mm晶圆产品的ALD镀膜需求,具备卓越的通用性及多功能性,同样也是FAB-READY,可轻松集成到客户的产线上。”Prodigy为化合物半导体以及MEMS器件提供具有市场竞争力的ALD解决方案全新Transform300产品进一步匹配新兴半导体应用Prodigy为化合物半导体和MEMS器件的ALD量产技术树立了新标杆,能够满足由高端ALD技术支持的众多细分市场,是为8”及以下晶圆和多种材料提供最佳钝化及薄膜沉积的理想量产方案。Prodigy不仅集成了SRII最新ALD技术,更具备高性价比,易于实现批量处理工艺以提升目标产品性能,适用于75-200mm晶圆产品。值得一提的是,Transform300是目前市面上唯一一款结合等离子体增强和热法ALD有序工艺的300mm ALD集群工具。至此,Transform系列可为IDM和代工厂提供集单片、批量、等离子体增强及热法等众多功能于一体的工艺平台,旨在满足逻辑和存储等超大规模集成电路(VLSI)制造、CMOS图像传感器、功率器件、Micro-OLED/LED、先进封装和更多超摩尔领域的应用场景。加强产业深度合作,以先进技术赋能垂直行业创新万物互联时代到来,广泛类型的传感器产品重要性日益凸显。以CMOS传感器(CIS)这一典型的超摩尔应用为例,随着芯片集成度的提升,CIS芯片的结构也在不断创新,例如以堆叠方式将图像传感器、存储器以及更多逻辑元件进行统一封装。为了实现更优异的感光能力,往往需要表面钝化层来减少光子的损失,或通过抗反射涂层让更多的光子到达接收器。在这样深沟槽镀膜的场景中,ALD可以实现100%覆盖,或以不同镀膜材料、不同镀膜层数等方式组成不同的折射率、不同的叠层膜配比,从而更好地满足客户差异化的需求。Patrick Rabinzohn表示:“高质量、高保形性和均匀性的薄膜是ALD十分擅长的领域,目前已成为CIS应用的主流。与此同时,为了面向更多超摩尔应用,ALD工艺在全球范围也在不断开发与完善。SRII是这一全球合作的积极参与者,正在持续联合学术界、研究机构、材料供应商、设备子部件和工具供应商以及计量系统供应商等上下游机构/厂商展开紧密合作,实现互惠互利、创新发展,从而确保自有ALD工艺的领先地位。“目前,在中国市场,SRII与国家智能传感器创新中心及各大科研院校已经建立战略合作关系,共同专注CIS、MEMS等重要领域的联合研发,致力于加速超摩尔领域的产业落地。
  • 半导体产业“芯”光熠熠
    今年1至7月份,池州经开区半导体产业稳中有进,“芯”光熠熠,省级半导体产业集聚发展基地实现产值约110亿元,同比增长约15.5%。高芯众科、钜芯半导体、硕呈电子等半导体核心企业产值增幅超过20%。  近日,记者走进安徽高芯众科半导体有限公司,车间里等离子涂层机械手正在操作平台上有序高效地运转,一块块半导体核心零部件随之被披上“保护衣”。  2015年,高芯众科在池州经开区落户成立,围绕半导体真空腔体零部件制造、精密特殊涂层生产建立生产线,进行研发、测试,经过多年在关键核心技术上全力攻坚,如今该公司现已在液晶面板和半导体设备核心零部件制造、精密涂层等技术领域实现100%国产化。  技术创新是激发企业活力的源泉。“我们要打破国外技术垄断,做真正的国产替代。半导体核心零部件的国产化是条艰难而正确的道路,明确这一目标后,我们持续投入重金用于技术研发和创新,公司研发团队用了近十年时间,攻克了半导体核心零部件国产化道路上一批‘卡脖子’的技术难题。”高芯众科公司董事长辛长林告诉记者。  如今,半导体及液晶面板高端核心设备约90%来自国外,国内面板及芯片生产商除了购买设备,后续还要投入大量运维费用。而高芯众科提供的电极新品制造、精密涂层及材料服务,可为国内液晶面板厂商节约不少生产成本。  高芯众科作为能够生产下部电极的国产替代公司,很早就投身于半导体零部件的精密制造技术研发。目前,公司无需依赖进口就能提供先进制程的芯片制造用核心零部件,并能大大缩减这一零部件的交付期限。  截至7月底,高芯众科销售收入已突破1亿元,是去年同期的一倍。“面对严峻的外部环境,公司产值仍实现迅猛增长,主要得益于我们产品的持续创新,能第一时间满足客户的需求。”辛长林说,未来,高芯众科将继续推进半导体核心零部件新品的研发,加强技术攻关,拓展零部件新品种类,争取利用产品的品质优势、价格优势把半导体设备核心零部件和核心材料推向国际市场。  在核心企业加速发展、勇当“头雁”的同时,池州经开区强化上下游项目招引,延长半导体产业链条,以链式发展激活产业“聚变效应”。  安徽同池科技有限公司作为车载显示赛道的一枚“新星”,是一家专业从事各类显示器件产品制造的高新技术企业。为满足新能源汽车对车载显示屏零部件的多样化需求,企业自2022年落户园区后,就将原先苏州本部最新研发的液晶显示触控模组项目转移到池州,目前企业设立的8条整屏生产线,已为国内外多家头部新能源汽车厂商提供整体服务方案。  车间内,同池科技总经理姚秀振向记者介绍:“我们这款产品是一个4.1(寸)的模组,一天能生产5000(片),产值一天能达到25万元。公司上半年产值突破4000万元。”  在显示器行业深耕20多年后,姚秀振和他的团队在池州经开区开启了“二次创业”。谈起企业的发展规划,他满怀信心:“随着产能不断释放,我们正积极拓展新客户,目前洽谈的有京东方、理想等企业。”姚秀振说,公司正重点攻关整机产品,从软件开发到制造成品,都由同池科技完成。  核心企业引领,经开区半导体产业“磁吸”效应加速释放,产业链上下游配套企业加速汇聚。今年以来,该区不断创新招商模式,吸引越来越多的半导体领域优质企业来此扎根创业、投资兴业、共谋发展,丰芯半导体高端封测、康盈半导体、华讯科技存储芯片等一批半导体产业及关联项目签约落户。  “接下来我们将继续坚持半导体首位产业、首位发展,做强封装测试和分立器件‘两张名片’,加快补齐产业链短板,加速布局车规级芯片新赛道,构建半导体产业特色发展格局,奋力打造省内一流的半导体产业基地。”池州经开区经济发展局副局长程华青表示。
  • 莱伯泰科:首款ICP-MS致力于高性能要求的半导体&医疗行业——2021质谱新品大探秘
    随着质谱技术的发展和应用逐渐成熟,全球范围内质谱仪器销售增速迅猛,进入快速发展期。2021年,中国市场各厂商的质谱产品推陈出新,从新品类型来看,主要集中于Orbitrap、飞行时间、三重四极杆型高分辨质谱。另一方面,国产制造商不断制造“惊喜”,一直有新“玩家”入场,包括莱伯泰科、苏州医工所、迪谱诊断、中元汇吉等。为更全面展现2021年中国市场推出的质谱新产品、新技术,仪器信息网特别策划MS GO:2021质谱新品大探秘的系列视频采访,向广大用户带来最新最前沿的质谱新产品速报。跟随仪器信息网的镜头,可以看到2021年多家国产厂商的质谱产品扎堆发布,品类囊括了ICP-MS、GC-MS、GCMS/MS、小质谱、核酸质谱等,可以说是你方唱罢我登场,好不热闹。上市新秀莱伯泰科带来了他们的第一款质谱产品LabMS 3000,品类是其创始人胡克博士深耕了30余年的电感耦等离子体质谱(ICP-MS)。不仅如此,莱伯泰科作为样品前处理的领军企业,ICP-MS的推出也为其从前处理设备扩充到分析仪器完整产品线打下坚实的基础。LabMS 3000除专用于传统行业像环境、食品检测外,还致力于两个对产品性能要求更高的行业,即生物医疗和半导体行业。我们知道,在半导体行业中,ICP-MS被广泛应用于高纯材料、高纯化学品以及生产过程中杂质含量的监控。该行业需要同时检测轻、中、重元素,检测的元素范围非常广泛,并且对仪器灵敏度要求极高,需要达到ppt级别。也由于其行业特殊性,半导体行业对ICP-MS具有极高的准入门槛,从仪器性能到仪器的安全可靠性,再到整机及内部部件的洁净度、耐腐蚀性等都有极为严格的规定。针对此,莱伯泰科在仪器的整机设计、进样系统材料、锥接口、锥材料以及碰撞反应池、冷热焰模式等方面都做了改进,其稳定的冷热焰切换技术可满足半导体行业的测试需求。最后谈到ICP-MS技术和应用的发展趋势时,雒丽娜也表示,ICP-MS从上世纪八十年代发展至今也就三十多年,其从最初的地矿行业发展到现在已广泛应用于环境、食品等领域,应用广度也在不断拓展。目前的难点在于ICP-MS怎么实现一个更好的检出限灵敏度和质谱干扰的消除,此外就是纳米颗粒和单细胞等多元素同时分析的能力。为此,莱伯泰科质谱研发已经将ICP-Q-TOF提上日程,相信在不久的将来能和大家见面。请点击下方收看莱伯泰科市场部经理雒丽娜女士的完整采访视频:
  • CSEAC 2024功率及化合物半导体产业发展论坛
    第12届中国电子专用设备工业协会半导体设备年会、第12届半导体设备与核心部件展示会 将于9月25日-27日在无锡太湖国际博览中心举行。大会将开展包括展览展示、主旨论坛、专题论坛、圆桌对话、产业上下游对接会、新品发布等活动。30+场论坛、200+位演讲嘉宾、1000+展商、预计8w+观众人次。五大展区、六馆联动,展会面积6万平方米。同期将举办2024集成电路(无锡)创新发展大会(ICIDC)、2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展、2024年中国半导体封装测试技术与市场年会等,集成电路领域品牌展会齐聚,实现设计、制造、封测、设备及零部件的全产业链展会图谱。诚邀您莅临大会,与我们共同分享半导体设备及核心部件行业的最新成果,探讨合作机会。9月25-27日,相约无锡,不见不散!9月25日09:00-17:002024集成电路(无锡)创新发展大会中国电子专用设备工业协会半导体设备年会09:20-16:30专题一:半导体设备与核心部件配套新进展论坛09:30-12:00专题二:半导体制造与核心部件董事长论坛13:30-17:00专题三:半导体制造与材料董事长论坛13:30-17:00专题四:半导体设备仪器赋能科研教学发展论坛13:30-17:00专题五:半导体制造与设备董事长论坛09:30-12:00专题活动:新品发布09:00-12:00第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展17:40-21:00欢迎晚宴9月26日09:30-12:00专题六:半导体二手设备产业交流合作论坛13:30-16:30专题七:功率及化合物半导体产业发展论坛13:30-17:00专题八:先进封装技术与设备材料协同发展论坛09:30-16:30专题九:制造工艺与半导体设备产业链联动发展论坛09:30-12:00专题十:半导体设备与核心部件投融资论坛13:00-18:00专题十一:新器件新工艺推动新材料新设备创新发展论坛10:00-12:00专题活动:新品发布09:00-17:002024中国集成电路设计创新大会暨第四届IC应用博览会(ICDIA)09:00-12:00AEIF:汽车芯片与系统设计研讨9月27日09:00-12:00ICDIA:AI 大模型赋能芯片设计13:00-17:00ICDIA:中国通信与射频技术论坛09:00-12:00ICDIA:RISC-V 开源芯片生态13:00-17:00ICDIA:创新中国芯论坛福利一:报名送免费咖啡 → 点此了解 福利二:转发大会文章 送限量版“晶圆”转发“CSEAC 2024”近期宣传文章至朋友圈(所有人可见),可领取一份大会定制礼品——CSEAC限量版“晶圆硅片”。凭转发朋友圈有效页面,在现场礼品领取处领取。数量有限,先到先得!福利三:组团来观展享豪礼!→ 点此了解团队报名联系:张先生 18916567792(同微信)福利四:重磅!提前报名抽大奖*报名活动最终解释权归CSEAC组委会所有展商名录提前看CSEAC已吸引 800家 企事业单位预定展位CSEAC 2024 展商名录 → 点此获取同期展会:2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF 2024)暨汽车电子应用展,200多家 展商集中展示新产品、新技术、新应用。ICDIA 2024、AEIF 2024 展商名录 → 点此查看设备担重任,创芯闯征程9月25-27日,相约无锡,不见不散!
  • CSEAC 2024半导体二手设备产业交流合作论坛日程公布
    第12届中国电子专用设备工业协会半导体设备年会、第12届半导体设备与核心部件展示会 将于9月25日-27日在无锡太湖国际博览中心举行。大会将开展包括展览展示、主旨论坛、专题论坛、圆桌对话、产业上下游对接会、新品发布等活动。30+场论坛、200+位演讲嘉宾、1000+展商、预计8w+观众人次。五大展区、六馆联动,展会面积6万平方米。同期将举办2024集成电路(无锡)创新发展大会(ICIDC)、2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展、2024年中国半导体封装测试技术与市场年会等,集成电路领域品牌展会齐聚,实现设计、制造、封测、设备及零部件的全产业链展会图谱。诚邀您莅临大会,与我们共同分享半导体设备及核心部件行业的最新成果,探讨合作机会。9月25-27日,相约无锡,不见不散!9月25日09:00-17:002024集成电路(无锡)创新发展大会中国电子专用设备工业协会半导体设备年会09:20-16:30专题一:半导体设备与核心部件配套新进展论坛09:30-12:00专题二:半导体制造与核心部件董事长论坛13:30-17:00专题三:半导体制造与材料董事长论坛13:30-17:00专题四:半导体设备仪器赋能科研教学发展论坛13:30-17:00专题五:半导体制造与设备董事长论坛09:30-12:00专题活动:新品发布09:00-12:00第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展17:40-21:00欢迎晚宴9月26日09:30-12:00专题六:半导体二手设备产业交流合作论坛13:30-16:30专题七:功率及化合物半导体产业发展论坛13:30-17:00专题八:先进封装技术与设备材料协同发展论坛09:30-16:30专题九:制造工艺与半导体设备产业链联动发展论坛09:30-12:00专题十:半导体设备与核心部件投融资论坛13:00-18:00专题十一:新器件新工艺推动新材料新设备创新发展论坛10:00-12:00专题活动:新品发布09:00-17:002024中国集成电路设计创新大会暨第四届IC应用博览会(ICDIA)09:00-12:00AEIF:汽车芯片与系统设计研讨9月27日09:00-12:00ICDIA:AI 大模型赋能芯片设计13:00-17:00ICDIA:中国通信与射频技术论坛09:00-12:00ICDIA:RISC-V 开源芯片生态13:00-17:00ICDIA:创新中国芯论坛福利一:报名送免费咖啡 → 点此了解 福利二:转发大会文章 送限量版“晶圆”转发“CSEAC 2024”近期宣传文章至朋友圈(所有人可见),可领取一份大会定制礼品——CSEAC限量版“晶圆硅片”。凭转发朋友圈有效页面,在现场礼品领取处领取。数量有限,先到先得!福利三:组团来观展享豪礼!→ 点此了解团队报名联系:张先生 18916567792(同微信)福利四:重磅!提前报名抽大奖*报名活动最终解释权归CSEAC组委会所有展商名录提前看CSEAC已吸引 800家 企事业单位预定展位CSEAC 2024 展商名录 → 点此获取同期展会:2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF 2024)暨汽车电子应用展,200多家 展商集中展示新产品、新技术、新应用。ICDIA 2024、AEIF 2024 展商名录 → 点此查看设备担重任,创芯闯征程9月25-27日,相约无锡,不见不散!
  • 议程发布!2024半导体设备与核心部件投融资论坛
    第12届中国电子专用设备工业协会半导体设备年会、第12届半导体设备与核心部件展示会 将于9月25日-27日在无锡太湖国际博览中心举行。大会将开展包括展览展示、主旨论坛、专题论坛、圆桌对话、产业上下游对接会、新品发布等活动。30+场论坛、200+位演讲嘉宾、1000+展商、预计8w+观众人次。五大展区、六馆联动,展会面积6万平方米。同期将举办2024集成电路(无锡)创新发展大会(ICIDC)、2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展、2024年中国半导体封装测试技术与市场年会等,集成电路领域品牌展会齐聚,实现设计、制造、封测、设备及零部件的全产业链展会图谱。诚邀您莅临大会,与我们共同分享半导体设备及核心部件行业的最新成果,探讨合作机会。9月25-27日,相约无锡,不见不散!9月25日09:00-17:002024集成电路(无锡)创新发展大会中国电子专用设备工业协会半导体设备年会09:20-16:30专题一:半导体设备与核心部件配套新进展论坛09:30-12:00专题二:半导体制造与核心部件董事长论坛13:30-17:00专题三:半导体制造与材料董事长论坛13:30-17:00专题四:半导体设备仪器赋能科研教学发展论坛13:30-17:00专题五:半导体制造与设备董事长论坛09:30-12:00专题活动:新品发布09:00-12:00第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展17:40-21:00欢迎晚宴9月26日09:30-12:00专题六:半导体二手设备产业交流合作论坛13:30-16:30专题七:功率及化合物半导体产业发展论坛13:30-17:00专题八:先进封装技术与设备材料协同发展论坛09:30-16:30专题九:制造工艺与半导体设备产业链联动发展论坛09:30-12:00专题十:半导体设备与核心部件投融资论坛13:00-18:00专题十一:新器件新工艺推动新材料新设备创新发展论坛10:00-12:00专题活动:新品发布09:00-17:002024中国集成电路设计创新大会暨第四届IC应用博览会(ICDIA)09:00-12:00AEIF:汽车芯片与系统设计研讨9月27日09:00-12:00ICDIA:AI 大模型赋能芯片设计13:00-17:00ICDIA:中国通信与射频技术论坛09:00-12:00ICDIA:RISC-V 开源芯片生态13:00-17:00ICDIA:创新中国芯论坛福利一:报名送免费咖啡 → 点此了解 福利二:转发大会文章 送限量版“晶圆”转发“CSEAC 2024”近期宣传文章至朋友圈(所有人可见),可领取一份大会定制礼品——CSEAC限量版“晶圆硅片”。凭转发朋友圈有效页面,在现场礼品领取处领取。数量有限,先到先得!福利三:组团来观展享豪礼!→ 点此了解团队报名联系:张先生 18916567792(同微信)福利四:重磅!提前报名抽大奖*报名活动最终解释权归CSEAC组委会所有展商名录提前看CSEAC已吸引 800家 企事业单位预定展位CSEAC 2024 展商名录 → 点此获取同期展会:2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF 2024)暨汽车电子应用展,200多家 展商集中展示新产品、新技术、新应用。ICDIA 2024、AEIF 2024 展商名录 → 点此查看设备担重任,创芯闯征程9月25-27日,相约无锡,不见不散!
  • CSEAC 2024 第十二届半导体设备年会主论坛议程公布
    第12届中国电子专用设备工业协会半导体设备年会、第12届半导体设备与核心部件展示会 将于9月25日-27日在无锡太湖国际博览中心举行。大会将开展包括展览展示、主旨论坛、专题论坛、圆桌对话、产业上下游对接会、新品发布等活动。30+场论坛、200+位演讲嘉宾、1000+展商、预计8w+观众人次。五大展区、六馆联动,展会面积6万平方米。同期将举办2024集成电路(无锡)创新发展大会(ICIDC)、2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展、2024年中国半导体封装测试技术与市场年会等,集成电路领域品牌展会齐聚,实现设计、制造、封测、设备及零部件的全产业链展会图谱。诚邀您莅临大会,与我们共同分享半导体设备及核心部件行业的最新成果,探讨合作机会。9月25-27日,相约无锡,不见不散!9月25日09:00-17:002024集成电路(无锡)创新发展大会中国电子专用设备工业协会半导体设备年会09:20-16:30专题一:半导体设备与核心部件配套新进展论坛09:30-12:00专题二:半导体制造与核心部件董事长论坛13:30-17:00专题三:半导体制造与材料董事长论坛13:30-17:00专题四:半导体设备仪器赋能科研教学发展论坛13:30-17:00专题五:半导体制造与设备董事长论坛09:30-12:00专题活动:新品发布09:00-12:00第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展17:40-21:00欢迎晚宴9月26日09:30-12:00专题六:半导体二手设备产业交流合作论坛13:30-16:30专题七:功率及化合物半导体产业发展论坛13:30-17:00专题八:先进封装技术与设备材料协同发展论坛09:30-16:30专题九:制造工艺与半导体设备产业链联动发展论坛09:30-12:00专题十:半导体设备与核心部件投融资论坛13:00-18:00专题十一:新器件新工艺推动新材料新设备创新发展论坛10:00-12:00专题活动:新品发布09:00-17:002024中国集成电路设计创新大会暨第四届IC应用博览会(ICDIA)09:00-12:00AEIF:汽车芯片与系统设计研讨9月27日09:00-12:00ICDIA:AI 大模型赋能芯片设计13:00-17:00ICDIA:中国通信与射频技术论坛09:00-12:00ICDIA:RISC-V 开源芯片生态13:00-17:00ICDIA:创新中国芯论坛福利一:报名送免费咖啡 → 点此了解 福利二:转发大会文章 送限量版“晶圆”转发“CSEAC 2024”近期宣传文章至朋友圈(所有人可见),可领取一份大会定制礼品——CSEAC限量版“晶圆硅片”。凭转发朋友圈有效页面,在现场礼品领取处领取。数量有限,先到先得!福利三:组团来观展享豪礼!→点此了解团队报名联系:张先生 18916567792(同微信)福利四:重磅!提前报名抽大奖*报名活动最终解释权归CSEAC组委会所有展商名录提前看CSEAC已吸引 800家 企事业单位预定展位CSEAC 2024 展商名录 → 点此获取同期展会:2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF 2024)暨汽车电子应用展,200多家 展商集中展示新产品、新技术、新应用。ICDIA 2024、AEIF 2024 展商名录 → 点此查看设备担重任,创芯闯征程9月25-27日,相约无锡,不见不散!
  • 议程公布!CSEAC 2024半导体设备仪器赋能科研教学发展论坛
    第12届中国电子专用设备工业协会半导体设备年会、第12届半导体设备与核心部件展示会 将于9月25日-27日在无锡太湖国际博览中心举行。大会将开展包括展览展示、主旨论坛、专题论坛、圆桌对话、产业上下游对接会、新品发布等活动。30+场论坛、200+位演讲嘉宾、1000+展商、预计8w+观众人次。五大展区、六馆联动,展会面积6万平方米。同期将举办2024集成电路(无锡)创新发展大会(ICIDC)、2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展、2024年中国半导体封装测试技术与市场年会等,集成电路领域品牌展会齐聚,实现设计、制造、封测、设备及零部件的全产业链展会图谱。诚邀您莅临大会,与我们共同分享半导体设备及核心部件行业的最新成果,探讨合作机会。9月25-27日,相约无锡,不见不散!9月25日09:00-17:002024集成电路(无锡)创新发展大会中国电子专用设备工业协会半导体设备年会09:20-16:30专题一:半导体设备与核心部件配套新进展论坛09:30-12:00专题二:半导体制造与核心部件董事长论坛13:30-17:00专题三:半导体制造与材料董事长论坛13:30-17:00专题四:半导体设备仪器赋能科研教学发展论坛13:30-17:00专题五:半导体制造与设备董事长论坛09:30-12:00专题活动:新品发布09:00-12:00第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展17:40-21:00欢迎晚宴9月26日09:30-12:00专题六:半导体二手设备产业交流合作论坛13:30-16:30专题七:功率及化合物半导体产业发展论坛13:30-17:00专题八:先进封装技术与设备材料协同发展论坛09:30-16:30专题九:制造工艺与半导体设备产业链联动发展论坛09:30-12:00专题十:半导体设备与核心部件投融资论坛13:00-18:00专题十一:新器件新工艺推动新材料新设备创新发展论坛10:00-12:00专题活动:新品发布09:00-17:002024中国集成电路设计创新大会暨第四届IC应用博览会(ICDIA)09:00-12:00AEIF:汽车芯片与系统设计研讨9月27日09:00-12:00ICDIA:AI 大模型赋能芯片设计13:00-17:00ICDIA:中国通信与射频技术论坛09:00-12:00ICDIA:RISC-V 开源芯片生态13:00-17:00ICDIA:创新中国芯论坛福利一:报名送免费咖啡 → 点此了解 福利二:转发大会文章 送限量版“晶圆”转发“CSEAC 2024”近期宣传文章至朋友圈(所有人可见),可领取一份大会定制礼品——CSEAC限量版“晶圆硅片”。凭转发朋友圈有效页面,在现场礼品领取处领取。数量有限,先到先得!福利三:组团来观展享豪礼!→ 点此了解团队报名联系:张先生 18916567792(同微信)福利四:重磅!提前报名抽大奖*报名活动最终解释权归CSEAC组委会所有展商名录提前看CSEAC已吸引 800家 企事业单位预定展位CSEAC 2024 展商名录 → 点此获取同期展会:2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF 2024)暨汽车电子应用展,200多家 展商集中展示新产品、新技术、新应用。ICDIA 2024、AEIF 2024 展商名录 → 点此查看设备担重任,创芯闯征程9月25-27日,相约无锡,不见不散!
  • 议程发布!CSEAC 2024制造工艺与半导体设备产业链联动发展论坛
    第12届中国电子专用设备工业协会半导体设备年会、第12届半导体设备与核心部件展示会 将于9月25日-27日在无锡太湖国际博览中心举行。大会将开展包括展览展示、主旨论坛、专题论坛、圆桌对话、产业上下游对接会、新品发布等活动。30+场论坛、200+位演讲嘉宾、1000+展商、预计8w+观众人次。五大展区、六馆联动,展会面积6万平方米。同期将举办2024集成电路(无锡)创新发展大会(ICIDC)、2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展、2024年中国半导体封装测试技术与市场年会等,集成电路领域品牌展会齐聚,实现设计、制造、封测、设备及零部件的全产业链展会图谱。诚邀您莅临大会,与我们共同分享半导体设备及核心部件行业的最新成果,探讨合作机会。9月25-27日,相约无锡,不见不散!9月25日09:00-17:002024集成电路(无锡)创新发展大会中国电子专用设备工业协会半导体设备年会09:20-16:30专题一:半导体设备与核心部件配套新进展论坛09:30-12:00专题二:半导体制造与核心部件董事长论坛13:30-17:00专题三:半导体制造与材料董事长论坛13:30-17:00专题四:半导体设备仪器赋能科研教学发展论坛13:30-17:00专题五:半导体制造与设备董事长论坛09:30-12:00专题活动:新品发布09:00-12:00第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展17:40-21:00欢迎晚宴9月26日09:30-12:00专题六:半导体二手设备产业交流合作论坛13:30-16:30专题七:功率及化合物半导体产业发展论坛13:30-17:00专题八:先进封装技术与设备材料协同发展论坛09:30-16:30专题九:制造工艺与半导体设备产业链联动发展论坛09:30-12:00专题十:半导体设备与核心部件投融资论坛13:00-18:00专题十一:新器件新工艺推动新材料新设备创新发展论坛10:00-12:00专题活动:新品发布09:00-17:002024中国集成电路设计创新大会暨第四届IC应用博览会(ICDIA)09:00-12:00AEIF:汽车芯片与系统设计研讨9月27日09:00-12:00ICDIA:AI 大模型赋能芯片设计13:00-17:00ICDIA:中国通信与射频技术论坛09:00-12:00ICDIA:RISC-V 开源芯片生态13:00-17:00ICDIA:创新中国芯论坛福利一:报名送免费咖啡 → 点此了解 福利二:转发大会文章 送限量版“晶圆”转发“CSEAC 2024”近期宣传文章至朋友圈(所有人可见),可领取一份大会定制礼品——CSEAC限量版“晶圆硅片”。凭转发朋友圈有效页面,在现场礼品领取处领取。数量有限,先到先得!福利三:组团来观展享豪礼!→ 点此了解团队报名联系:张先生 18916567792(同微信)福利四:重磅!提前报名抽大奖*报名活动最终解释权归CSEAC组委会所有展商名录提前看CSEAC已吸引 800家 企事业单位预定展位CSEAC 2024 展商名录 → 点此获取同期展会:2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF 2024)暨汽车电子应用展,200多家 展商集中展示新产品、新技术、新应用。ICDIA 2024、AEIF 2024 展商名录 → 点此查看设备担重任,创芯闯征程9月25-27日,相约无锡,不见不散!
  • 议程公布!CSEAC 2024半导体设备与核心部件配套新进展论坛
    第12届中国电子专用设备工业协会半导体设备年会、第12届半导体设备与核心部件展示会 将于9月25日-27日在无锡太湖国际博览中心举行。大会将开展包括展览展示、主旨论坛、专题论坛、圆桌对话、产业上下游对接会、新品发布等活动。30+场论坛、200+位演讲嘉宾、1000+展商、预计8w+观众人次。五大展区、六馆联动,展会面积6万平方米。同期将举办2024集成电路(无锡)创新发展大会(ICIDC)、2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展、2024年中国半导体封装测试技术与市场年会等,集成电路领域品牌展会齐聚,实现设计、制造、封测、设备及零部件的全产业链展会图谱。诚邀您莅临大会,与我们共同分享半导体设备及核心部件行业的最新成果,探讨合作机会。9月25-27日,相约无锡,不见不散!9月25日09:00-17:002024集成电路(无锡)创新发展大会中国电子专用设备工业协会半导体设备年会09:20-16:30专题一:半导体设备与核心部件配套新进展论坛09:30-12:00专题二:半导体制造与核心部件董事长论坛13:30-17:00专题三:半导体制造与材料董事长论坛13:30-17:00专题四:半导体设备仪器赋能科研教学发展论坛13:30-17:00专题五:半导体制造与设备董事长论坛09:30-12:00专题活动:新品发布09:00-12:00第十一届汽车电子创新大会(AEIF)暨2024汽车电子应用展17:40-21:00欢迎晚宴9月26日09:30-12:00专题六:半导体二手设备产业交流合作论坛13:30-16:30专题七:功率及化合物半导体产业发展论坛13:30-17:00专题八:先进封装技术与设备材料协同发展论坛09:30-16:30专题九:制造工艺与半导体设备产业链联动发展论坛09:30-12:00专题十:半导体设备与核心部件投融资论坛13:00-18:00专题十一:新器件新工艺推动新材料新设备创新发展论坛10:00-12:00专题活动:新品发布09:00-17:002024中国集成电路设计创新大会暨第四届IC应用博览会(ICDIA)09:00-12:00AEIF:汽车芯片与系统设计研讨9月27日09:00-12:00ICDIA:AI 大模型赋能芯片设计13:00-17:00ICDIA:中国通信与射频技术论坛09:00-12:00ICDIA:RISC-V 开源芯片生态13:00-17:00ICDIA:创新中国芯论坛福利一:报名送免费咖啡 → 点此了解 福利二:转发大会文章 送限量版“晶圆”转发“CSEAC 2024”近期宣传文章至朋友圈(所有人可见),可领取一份大会定制礼品——CSEAC限量版“晶圆硅片”。凭转发朋友圈有效页面,在现场礼品领取处领取。数量有限,先到先得!福利三:组团来观展享豪礼!→ 点此了解团队报名联系:张先生 18916567792(同微信)福利四:重磅!提前报名抽大奖*报名活动最终解释权归CSEAC组委会所有展商名录提前看CSEAC已吸引 800家 企事业单位预定展位CSEAC 2024 展商名录 → 点此获取同期展会:2024中国集成电路设计创新大会暨第四届IC应用展(ICDIA-IC Show)、第十一届汽车电子创新大会(AEIF 2024)暨汽车电子应用展,200多家 展商集中展示新产品、新技术、新应用。ICDIA 2024、AEIF 2024 展商名录 → 点此查看设备担重任,创芯闯征程9月25-27日,相约无锡,不见不散!
  • 莱伯泰科重磅发布三重四极杆质谱,深层次进军半导体行业
    北京莱伯泰科仪器股份有限公司(以下简称“莱伯泰科”)在高端科学仪器领域自主研发创新领域再获重大突破。3月10日,“致知力行 踵事增华--莱伯泰科半导体行业三重四极杆质谱新品发布会”在莱伯泰科北京总部隆重举行,重磅推出针对于半导体行业研发生产的LabMS 5000 ICP-MS/MS电感耦合等离子体质谱。来自集成电路材料产业技术创新联盟秘书长石瑛女士,第三代半导体产业技术创新战略联盟副理事长兼秘书长、中科院半导体所研究员杨富华先生,以及中国半导体行业协会副秘书长刘源超先生等多位嘉宾出席了本次发布会,共同见证了莱伯泰科的这一重要时刻。 发布会现场照片莱伯泰科在电感耦合等离子体质谱技术领域拥有丰富的创新经验。从2019年启动单四极杆ICP-MS项目开始,莱伯泰科质谱研发团队在胡克博士的带领下,用时1年零9个月,便发布了首款单四极杆质谱产品,首次实现了国产ICP-MS在半导体行业芯片生产线的应用拓展。随后,莱伯泰科乘胜追击,又于2021年第四季度启动了三重四极杆质谱研发项目,仅一年多的时间,就完成了从立项、设计、功能机、试用机、商品机,并获取国际半导体产业协会SEMI S2认证的顺畅推进。本次质谱新品的发布,是继2021年发布单四极杆LabMS 3000之后,莱伯泰科致力于为半导体行业用户提供更加精准、高效的解决方案的最新成果。 LabMS 5000电感耦合等离子体质谱新品活动伊始,由莱伯泰科董事长胡克博士致开幕词。他表示,ICP-MS对他本人来说有着非同一般的意义,自从43年前导师Houk博士在美国分析化学杂志上发表了第一篇等离子体质谱论文,35年前他在一张白纸上画出了博士论文中的第一张ICP-MS草图,ICP-MS便成了胡克博士一生矢志不渝的追求与梦想。创办莱伯泰科后,做莱伯泰科品牌的ICP-MS一直是胡克博士的愿望和理想。今天,莱伯泰科能在两年内连续发布两款ICP-MS,除了感谢公司的质谱团队外,还要特别感谢半导体行业的多家企业,他们的支持、鼓励和期待,促进了莱伯泰科在三年多的时间内连续开发出单四极杆和三重四极杆两种等离子体质谱仪的决心和信心,感谢他们给予莱伯泰科的信任和认可。胡克博士还表示,未来,除了半导体专用型号外,莱伯泰科还将针对更多的行业领域开发更多的通用或专用型号产品,同时在产品的多样性、好用、易用、耐用上下功夫,在售后和服务上下功夫,打造中国用户可以完全信赖的产品,希望未来莱伯泰科的质谱仪能够在市场中占据一席之地。 莱伯泰科董事长胡克博士 致辞随后,莱伯泰科董事长胡克博士与第三代半导体产业技术创新战略联盟杨富华秘书长一同开启了激动人心的揭幕仪式,揭开了LabMS 5000的神秘面纱。 揭幕仪式接下来,集成电路材料产业技术创新联盟秘书长石瑛秘书长、第三代半导体产业技术创新战略联盟杨富华秘书长和中国半导体行业协会副秘书长刘源超副秘书长分别进行了致辞。集成电路材料产业技术创新联盟秘书长石瑛 致辞石瑛秘书长表示,作为集成电路行业的老兵,她深知分析仪器对于集成电路材料的检测应用的重要性,其对半导体行业整体工艺的提升至关重要。此前,该领域被进口垄断,她非常欣慰看到像莱伯泰科这样的国产企业能够突出重围,突破高端科学仪器制造的卡脖子难题,同时她也祝愿莱伯泰科的质谱仪器能够在半导体市场取得良好的成绩。 第三代半导体产业技术创新战略联盟副理事长兼秘书长、中科院半导体所研究员杨富华先生致辞杨富华秘书长表示,全球半导体检测设备市场呈现国外设备巨头垄断格局,本土企业市场份额较低,因为半导体材料行业对检测仪器的精度要求比较高,但同时,高要求也代表高端仪器未来的发展有很大的潜力。杨富华提到,四极杆质谱作为科学院重点发展的项目之一,其在第三代半导体材料检测方面发挥着重大作用,近些年他观察到国产的仪器技术进步非常大,因此我们更需要大力支持自己的科学仪器产业。中国半导体行业协会副秘书长刘源超先生 致辞刘源超副秘书长在致辞中提到,当前全球主要的经济体都在大力发展半导体产业,并出台相关政策,比如韩国提出了半导体强国等口号,而作为拥有完整产业链的中国,更应该大力发展半导体产业。当前我国的缺芯问题比较严重,且我国在半导体行业高端制程方面是短板,也是需要重点发展的方向。刘源超最后表示,有像莱伯泰科这样的国产企业为我国半导体产业补短板作出的努力,相信我们的产业发展会更好。 本次发布会活动由莱伯泰科市场部经理雒丽娜主持。莱伯泰科市场部经理雒丽娜莱伯泰科质谱事业部高级应用经理 王娟最后,莱伯泰科质谱事业部高级应用经理王娟为与会嘉宾详细介绍了LabMS 5000 ICP-MS/MS的关键技术和核心性能。LabMS 5000搭载莱伯泰科成熟的前处理技术,按照行业的需求进行了定制化的设计,对于半导体行业对灵敏度要求极高的需求,LabMS 5000可胜任超痕量元素分析,并能确保分析结果更加准确可靠。“致知力行 踵事增华”,是莱伯泰科不断前行的企业理念的总结。“致知”代表莱伯泰科不断深耕行业,不断学习、探索和创新的精神;“力行”代表莱伯泰科具备坚定的执行力和行动力,能够迅速响应客户需求,将产品推陈出新的能力;“踵事增华”则代表莱伯泰科愿意与客户共同成长、与行业共同进步,通过不断创新,为加速科学仪器的国产替代进程做出贡献。因此,今天莱伯泰科的新品发布会不仅是产品的展示和介绍,更是莱伯泰科与半导体行业专家和客户的共同探讨和思考。莱伯泰科作为主要国产仪器厂商之一,在分析仪器领域已深耕20年,为客户持续提供兼具科学性与经济性的检测技术及解决方案,在广大客户的眼中,莱伯泰科早已成为他们值得信赖的合作伙伴。并且莱伯泰科始终坚守在推动我国科学仪器发展的第一线,近些年其在质谱创新之路的进展加速着国产替代的进程,也以实际行动助力我国实现高水平科技自立自强。与会嘉宾合影
  • 第11届半导体设备年会主峰会、专题论坛议程发布
    第11届(2023年)中国电子专用设备工业协会半导体设备年会暨产业链合作论坛、第11届(2023年)半导体设备材料与核心部件展示会(CSEAC) 将于8月9日-11日在无锡太湖国际博览中心举行。大会以展览+论坛相结合,搭建一个技术交流、经贸洽谈、市场推广的友好平台。目前参展企业超360家,会展面积近30000平方米,覆盖了设备与关键核心部件的全产业链。参展企业包括北方华创、盛美半导体、上海微电子装备、拓荆科技、华卓精科、中科飞测、烁科中科信等行业龙头;外资企业如川崎机器人、韩国帕克股份、德国JULABO、约翰内斯.海德汉、霍廷格、魏德米勒等;更有华润微电子、长电科技、华虹无锡、卓胜微电子、吉姆西半导体、先导集团、江苏雅克科技、无锡力芯微、中科芯、华进半导体、太初(无锡)电子、无锡矽创精密、恩纳基、芯百特微电子、研微(江苏)半导体、无锡芯朋微电子等行业优质企业以及大批新锐企业。 论坛将邀请产业界高管和学术界代表共同探讨当下半导体设备、核心部件以及材料亟待解决的问题,从宏观架构到技术难点,全方位展现半导体产业面临的机遇和挑战。大会安排总览8月9日09:00-17:30半导体设备与核心部件配套新进展论坛13:00-17:20半导体人才培养暨校企合作交流论坛13:00-17:30新器件新工艺推动新材料新设备创新发展09:00-17:00专题活动:新品发布、企业专场09:00-12:00半导体封测专用设备和与材料专题论坛12:00-17:00先进封装技术与系统集成专题论坛8月10日09:00-17:00 CSEAC 主峰会09:00-17:00制造工艺与半导体设备产业链联动发展论坛09:00-12:00化合物装备与材料发展论坛13:00-17:00半导体制造技术与设备材料董事长论坛09:00-17:00专题活动:新品发布、企业专场8月11日09:00-12:00二手设备产业交流合作论坛09:00-12:00半导体设备与核心部件产业投资论坛主峰会议程时间:8月10日 09:00-17:00 地点:A6馆开幕式主持人:王晖 博士 中国电子专用设备工业协会半导体设备分会理事长、盛美半导体设备(上海)股份有限公司董事长09:00-09:10 领导致辞09:10-09:20 无锡高新区集成电路产业高质量发展政策兑现仪式 无锡市新吴区人民政府09:20-09:40 创“芯”引领 半导体产业链发展新格局李 虹 博士 华润微电子有限公司执行董事、总裁09:40-10:10 集成电路设备产业发展的现状和挑战,人类第三次工业革命的到来尹志尧 博士 中微半导体设备(上海)股份有限公司董事长兼总经理10:10-10:30 茶歇洽谈主持人:金存忠 中国电子专用设备工业协会常务副秘书长10:30-10:50国产装备进入集成电路大生产线的瓶颈与对策李晋湘 中国电子专用设备工业协会副秘书长、华大半导体有限公司、上海积塔半导体有限公司董事/总工程师10:50-11:10以“先”领“芯” 先导集团产业园国产装备自主之路王燕清 先导控股集团有限公司/无锡先导集团董事长11:10-11:30新形势下中国半导体装备企业的定位与思考王坚 盛美半导体设备(上海)股份有限公司总经理11:30-11:50集成电路光学检测设备在中国的发展和挑战陈 鲁 深圳中科飞测科技股份有限公司董事长11:50-12:10科技创新与仪器设备技术褚君浩 中国科学院院士12:10-13:00 自助午餐主持人:李晋湘 中国电子专用设备工业协会副秘书长、华大半导体有限公司、积塔半导体(上海)有限公司董事/总工程师13:00-13:20原子层沉积技术在先进半导体芯片的应用及国产化展望黎微明 江苏微导纳米科技股份有限公司副董事长兼首席技术官13:20-13:40聚焦先进前道工艺应用,提高国产光学量测和检测设备的竞争力杨 峰 睿励科学仪器(上海)有限公司总经理兼首席执行官13:40-14:00新时代下国产设备的发展张孝勇 拓荆创益(沈阳)半导体设备有限公司 副总经理、首席技术官14:00-14:20半导体设备国产替代加速叶国光 无锡邑文电子科技有限公司副总经理14:20-14:40“芯”挑战化为新机遇 思锐智能再出发聂 翔 青岛四方思锐智能技术有限公司董事长14:40-15:00 茶歇与展览交流15:00-15:20刻蚀-沉积一体化赋能化合物半导体功率器件的大规模制造许开东 博士 江苏鲁汶仪器股份有限公司董事长兼CEO15:20-15:40芯鑫租赁,综合金融服务资源整合者—投租结合,助力国家集成电路产业发展袁以沛 芯鑫融资租赁有限责任公司 联席总裁15:40-16:00高精度2D&3D检量测结合深度学习,为芯片良率保驾护航郑 军 博士 聚时科技(上海)有限公司 CEO16:00-16:20临时键合及解键合助力后摩尔时代张羽成 苏州芯睿科技有限公司 副总16:20-16:40智算融合 筑基创新——智能计算系统解决方案赋能AIGC发展加速度赵文来 太初(无锡)电子科技有限公司首席科学家16:40-17:00中国半导体设备回顾与展望金存忠 中国电子专用设备工业协会常务副秘书长17:30-19:30 欢迎晚宴 专题论坛议程 专题一 半导体设备与核心零部件配套新进展专题论坛时间:8月9日 09:00-17:00 地点:A6馆 赞助单位:泓浒、品宙、复享光学、爱安特、金桥、史陶比尔、汇专集团、精量电子、通嘉宏瑞、固高科技、科慕化学、阿米精控、鲁汶仪器、珠海诚锋电子、颇尔、中导光电、12所主持人:叶乐志 博士 中国电子专用设备工业协会副秘书长时间/Time内容/Contents09:00-09:20EP级超高纯管道国产化思考陶然 宣城品宙洁净科技有限公司 总经理 09:20-09:40国产化晶圆传送设备的机遇与挑战林坚 泓浒(苏州)半导体科技有限公司 创始人&执行总裁09:40-10:00终点检测在等离子体刻蚀工艺中的应用陆祺峰 博士 上海复享光学股份有限公司市场经理10:00-10:20高性能电连接技术助力半导体设备稳定运行杨智斌 史陶比尔(杭州)精密机械电子有限公司北方大区销售经理 10:20-10:30茶歇与展览交流10:30-10:50共筑稳健供应链体系:交付需求的系统化分析许孟 爱安特(常州)精密技术有限公司销售总监10:50-11:10汇专超声绿色机床在半导体行业的创新应用 李伟 汇专科技集团股份有限公司 半导体行业销售总监11:10-11:30传感器在高性能工业控制里的发展及应用郑婷婷 TE Connectivity传感器事业部亚太区业务拓展负责人 11:30-11:50聚焦干泵“芯”机遇,助力行业新发展魏民 北京通嘉宏瑞科技有限公司 副总经理11:50-12:10网络式运动控制系统在高端半导体装备中的技术与实践李泽源 固高科技股份有限公司技术副总经理12:10-13:00自助午餐13:00-13:20半导体封装测试设备国产化叶乐志 博士 中国电子专用设备工业协会副秘书长13:20-13:40Krytox™ 高性能润滑剂在半导体设备中的应用何彦祯 科慕化学(上海)有限公 亚太区技术服务经理13:40-14:00微纳测量与超精密运动伺服技术及其在集成电路装备中的应用闫鹏 阿米精控科技(山东)有限公司 执行董事14:00-14:20离子束刻蚀-AR/VR领域的图形化解决方案 杨宇新 博士 江苏鲁汶仪器股份有限公司 离子束刻蚀技术经理14:20-14:40国产零部件的机遇与挑战郑广文 沈阳富创精密设备股份有限公司董事长14:40-15:00茶歇与展览交流15:00-15:20过程检技术在Wafer扩膜品控的应用武秉文 珠海诚锋电子科技有限公司 副总经理15:20-15:40共享全球科技 助力智慧中国 ——Pall本土化在路上刘亚文 颇尔(中国)有限公司 产品经理 15:40-16:00半导体芯片制造缺陷检测技术及设备 徐景瑞中导光电设备股份有限公司 副总裁16:00-16:20集成电路装备静电卡盘技术现状及发展趋势赵世柯 中国电子科技集团公司第十二研究所 功能陶瓷中心主任16:20-16:40集成电路装备静电卡盘技术现状及发展趋势赵世柯 中国电子科技集团公司第十二研究所 功能陶瓷中心主任16:40-17:00投资新片区 引领新发展陈婷雯 上海金桥临港综合区投资开发有限公司副总经理17:00-17:20自主知识产权与技术标准化,助力硬科技企业上市马志勇 博士 北京超凡知识产权管理咨询有限公司副总经理 专题二:半导体人才培养暨校企对接合作论坛 时间:8月9日 13:00-17:20 地点:A3馆 赞助单位:盛美 摩尔精英主持人:闫娜 复旦大学微电子学院副院长13:00-13:30国家集成电路产教融合创新平台建设工作交流张玉明 西安电子科技大学微电子学院院长13:30-14:00产教深度融合,努力探索实践集成电路高质量工程人才培养新模式于奇 成都电子科技大学副院长14:00-14:30校企联合共建中国集成电路装备人才高地王新征 盛美半导体设备(上海)股份有限公司资深公共关系总监14:30-14:50茶歇与展览交流14:50-15:20加速人才培养模式改革,提升集成电路创新能力耿莉 西安交通大学微电子学院教授/院长15:20-15:50共建共享产教融合工程人才培养的思考与实践时龙兴 东南大学首席教授15:50-16:20从实训云到设计云,摩尔精英一站式设计和封测平台赋能芯片创新张竞扬 摩尔精英董事长兼CEO 16:20-16:35集成电路全产业链自主人才培养模式的探索与实践陆瑛 中国半导体行业协会集成电路分会主任 16:35—16:45第三批人才储备基地入选单位和专家的名单公布16:45-17:35主持人: 张竞扬 摩尔精英董事长兼CEO 嘉宾:王坚 盛美半导体设备(上海)股份有限公司总经理张玉明 西安电子科技大学微电子学院院长于奇 电子科技大学副院长耿莉 西安交通大学微电子学院教授/院长时龙兴 东南大学首席教授 专题三:新器件新工艺推动新材料新设备创新发展时间:8月9日 13:00-17:00 地点:A4馆主办单位:中国电子专用设备工业协会半导体设备分会、上海集成电路行业协会、江苏省半导体行业协会、上海集成电路材料研究院、集成电路材料创新联合体、长三角集成电路设备材料推进小组主持人:冯黎 上海集成电路材料研究院副总经理、集成电路材料创新联合体秘书长领导致辞13:30-13:35郭奕武 上海市集成电路行业协会秘书长13:35-13:40秦舒 中国半导体行业协会集成电路分会秘书长、长三角融合创新联盟轮值理事长、江苏省半导体行业协会(JSSIA)秘书长主题演讲13:40-14:00AI for IC Materials - 加速集成电路材料研发与产业化进程冯黎 上海集成电路材料研究院副总经理、集成电路材料创新联合体秘书长14:00-14:20先进MEMS传感器对集成电路设备材料的创新需求王诗男 上海集成电路材料研究院首席技术专家14:20-14:50碳化硅器件工艺发展趋势以及相应需求的核心设备
  • 2018电镜新品回顾:SEM最活跃 高通量/工具化/半导体成热点
    p   2018年已经远去,过去这一年中国电镜市场延续火热,其中不乏收到热捧的冷冻、球差电镜等高端电镜的积极助推。新技术是各电镜品牌增强竞争力的原动力,2018年7月,康奈尔大学三位教授David Muller与Sol Gruner、Veit Elser 合作,开发出的电子显微镜像素阵列探测器(EMPAD),以单原子层厚度的单层二硫化钼为观测样本,在不使用像差校正器的情况下,获得了电镜成像分辨率的最新世界纪录——0.39 埃(仅需 80 keV 电子束能量)。赛默飞在第一时间获得康奈尔大学的授权,开启EMPAD的商业化,并迅速获得几十个订单。同时,其他电镜商也推出各自的电镜新品,以下仪器信息网编辑对2018年发布的主流电镜新品进行统计,以窥见电镜技术的最新进展动态。 /p h1 label=" 标题居左" style=" font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: center margin: 0px 0px 10px " span style=" font-size: 16px font-style: italic font-weight: bold line-height: 18px color: rgb(255, 0, 0) " strong 扫描电镜:“高通量、热场发射、工具化”成新技术热点 /strong /span strong /strong /h1 p   2018年,扫描电镜依旧是最活跃的电镜品类,发布新品数最多。从技术角度来看,“高通量”(SU7000、NeuroSEM-100)、“热场发射”(SU7000、S9000)、“工具化”(JSM-IT200)成为新技术热点。从新技术应用领域看,半导体等工业领域应用得到足够重视,开发满足更广泛应用场景的新产品技术成为各品牌追踪的一个方向。赛默飞Prisma偏向科研/工业用户通用型电镜,Verios G4则向半导体等工业用户倾斜。针对冷场SEM超大束流分析时不足,日立高新推出新一代热场技术SU7000。日本电子JSM-IT200则强调观察、分析、报告立等可取,提出“工具化分析仪器”理念。 /p p    span style=" color: rgb(112, 48, 160) " strong 赛默飞“最完整”扫描电镜Prisma a href=" https://www.instrument.com.cn/netshow/C11112.htm" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 【产品链接】 /span /a /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/8060eb10-ce14-4c84-b2a2-acffe0979d04.jpg" title=" 1.jpg" alt=" 1.jpg" style=" width: 300px height: 290px " width=" 300" vspace=" 0" height=" 290" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(112, 48, 160) " strong /strong /span span style=" color: rgb(0, 176, 240) " Prisma E SEM /span /p p    a href=" https://www.instrument.com.cn/news/20180510/463557.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年5月,赛默飞推出新型扫描电镜Prisma /span /a 。Prisma电镜平台包含了广泛的自动化和友好的用户界面,使得工业用户在常规的工业应用程序中易于学习和操作,同时保留了科研或学术用户使用中所需要的灵活性。如此,学术研发、工业企业的质量控制和失效分析实验室工作的不同类型客户,可以使用同样一台这种简单但功能强大的新型扫描电子显微镜,它也为更复杂的材料探索提供了全面的成像和分析选择。 /p p   赛默飞材料科学业务副总裁兼总经理Trisha Rice评价道:“Prisma全面优选的探测器、原位级、自动化系统,以及它的环保模式,使其成为目前市场上最完整的产品之一,它可以为工业用户配置为精益的‘点对点’解决方案,或者为研究人员提供一系列专用的成像和分析选项。Prisma的可选配置性可以让客户更自信的购买,因为他们知道Prisma拥有他们所需要的最佳解决方案,这也将为用户未来可能出现的潜在需求提供‘未来保障’。” /p p    span style=" color: rgb(112, 48, 160) " strong 赛默飞极高分辨率扫描电镜Verios G4 a href=" https://www.instrument.com.cn/netshow/C197838.htm" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 【产品链接】 /span /a /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/10ed6dd7-db47-4a94-a5f5-027c9baf84e0.jpg" title=" 2.jpg" alt=" 2.jpg" style=" width: 300px height: 300px " width=" 300" vspace=" 0" height=" 300" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " Verios G4极高分辨率扫描电子显微镜 /span /p p    a href=" https://www.instrument.com.cn/news/20180316/242042.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年3月,赛默飞推出极高分辨率(XHR)扫描电镜Verios G4 /span /a 。Verios G4能够获得高分辨率、高对比度的图像,而无需使用TEM或其他成像技术。将亚纳米分辨率扩展到整个1kev到30kev的能量范围,能够处理纳米管、孔隙度、催化剂颗粒、生物对象、界面和其他纳米结构等新型材料。满足苛刻的研究应用对灵活性的需求,并且能够方便地容纳大型试样(如冶金试样或完整的晶圆)。其设计以使用方便为核心,能够快速、方便地获取准确完整的纳米级数据;支持精确的原型设计应用,如电子束诱导直接沉积材料或光刻工作。 /p p   赛默飞半导体副总裁兼总经理Rob Krueger表示:“Verios G4是源于我们大获成功的Helios DualBeam系列 (聚焦离子束/扫描电子显微镜)仪器的扫描电子显微镜解决方案。它提供各种环境下行业领先的性能,尤其是用于先进工艺的光束敏感材料所需的低电压环境。” /p p    span style=" color: rgb(112, 48, 160) " strong 日立高新肖特基场发射扫描电镜SU7000 a href=" https://www.instrument.com.cn/netshow/C308891.htm" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 【产品链接】 /span /a /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/5e186f90-9b88-4a98-ac49-10b15f7d8938.jpg" title=" 3.jpg" alt=" 3.jpg" style=" width: 300px height: 234px " width=" 300" vspace=" 0" height=" 234" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 肖特基场发射扫描电镜SU7000 /span /p p    a href=" https://www.instrument.com.cn/news/20180731/468368.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年7月,日立高新推出肖特基场发射扫描电镜SU7000 /span /a 。SU7000缩短了通过采集多种信号获取样品多种信息的时间,真正实现高通量的观察与分析。近年,冷场技术几乎是高分辨观察SEM市场的主流,但冷场SEM虽然分辨率高且稳定,但在超大束流分析时还有些不足,所以超大束流分析(如WDX)热场便成为一种新的需求。结合这些需求,日立高新推出热场技术的SU7000。 /p p   SU7000全新设计的探测器使得对二次电子信号、背散射电子信号的检测以及分离能力大大提升。以往要根据获得的信号来调整样品与透镜之间的距离(WD),以设置最佳的观察与分析条件,而SU7000通过新研发的样品仓以及检测器系统,可在不改变WD的条件下更高效地接收各种信号,缩短了样品观察和分析的时间,提高了测试效率。而且,其配置了可同时6通道显示界面,进一步升级SEM控制系统,大幅提高了信号获取速度,由此实现了样品的高通量观察。它还标配超大样品仓,增设了附件接口,以适用于各种样品的观察与分析。 /p p    span style=" color: rgb(112, 48, 160) " strong 日本电子扫描电镜JSM-IT200 Series InTouchScopeTM /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/bf0a2d3e-1102-46d5-89e9-146e4b029e4f.jpg" title=" 4.jpg" alt=" 4.jpg" style=" width: 300px height: 191px " width=" 300" vspace=" 0" height=" 191" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 扫描电镜JSM-IT200 Series InTouchScopeTM /span /p p   2018年3月,日本电子推出新型扫描电镜JSM-IT200 Series InTouchScopeTM。其官方将其描述为“观察、分析、报告立等可取!工具化的分析仪器”。JSM-IT200Series装配了和JSM-IT500Series同样的功能软件,使用更加简单容易,日本电子自述作业效率比JSM-IT100Series提高了35%。JSM-IT200Series有4种机型可供选择:标准机型JSM-IT200BU、分析型JSM-IT200A、低真空观察型JSM-IT200LV、分析型低真空观察JSM-IT200LA。 /p p   该系列主要特点包括:使用样品更换导航可以安全简单地更换样品 SEM和EDS一体化集成,从观察到分析顺利获取数据 标配具有样品座图像、CCD图像和SEM像联动功能的Zeromag,可以直接快速地寻找感兴趣的视野 标准装配X,Y 2轴马达台和蒙太奇功能,可获取mm级的SEM像和元素分析 标配Live Analysis功能,元素分析效率高 用SMILE VIEW& #8482 Lab,很容易生成数据报告。 /p p    span style=" color: rgb(112, 48, 160) " strong 泰思肯超高分辨型热场发射扫描电镜S9000 /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/d08652ec-ff09-40d6-bd7c-aaa25f680fc6.jpg" title=" 5.jpg" alt=" 5.jpg" style=" width: 250px height: 333px " width=" 250" vspace=" 0" height=" 333" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 超高分辨型场发射扫描电镜S9000 /span /p p    a href=" https://www.instrument.com.cn/news/20181207/476671.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年11月,泰思肯发布第四代电镜S9000系列 /span /a 。S9000是TESCAN最新推出的超高分辨型电子显微镜系列,在软硬件方面均经过全新设计,配备了TESCAN最新的Triglav& #8482 电子镜筒技术和Orage& #8482 离子镜筒技术;配置了全新立体设计的Essence& #8482 计算机处理系统,具有便捷的操作界面和面向应用流程的可定制化软件布局。 /p p   S9000具有优化的透镜内探测器系统和高性能电子信号过滤和收集能力。S9000能够采集能量过滤后的轴向背散射电子信号,可以选择性收集低损耗背散射电子,获得更好的对比度和表面灵敏度。 /p p    span style=" color: rgb(112, 48, 160) " strong 聚束科技高通量场发射扫描电镜系NeuroSEM-100 a href=" https://www.instrument.com.cn/netshow/C290025.htm" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 【产品链接】 /span /a /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/15c4b160-ede9-490a-820b-9700c2069d93.jpg" title=" 6.jpg" alt=" 6.jpg" style=" width: 250px height: 374px " width=" 250" vspace=" 0" height=" 374" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 高通量场发射扫描电镜系NeuroSEM-100 /span /p p    a href=" https://www.instrument.com.cn/news/20180615/466004.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年年初,聚束科技自主研发的首台高通量场发射扫描电镜系统—领航者(Navigator)系列的第一台NeuroSEM-100 /span /a 正式下线并交付客户—中科院自动化研究所,专用于微观尺度脑神经连接图谱的超高速成像。 /p p   Navigator系列主要创新点包括:拥有自主知识产权的高效电子收集和成像系统,采用同轴电子直接探测技术和高速FPGA采集模块,使该系统在世界上首次实现低加速电压(1kV)下100M/s级二次电子和背散射电子的超高速同步成像 针对于低加速电压、大视野下分辨率而专门设计的电子光学镜筒光路,采用浸没摇摆电磁复合透镜设计,使其在1kV加速电压下即可获得1.6nm、5kV下可获得1.2nm的高分辨率能力,且具备高分辨率视场的区域较传统扫描电镜大4倍以上,而且保持高线性度(1‰的计量级) 高度的智能化结合超高速成像能力(4TB/天),使之具备了跨尺度信息融合能力。可全自动的超大区域(100mmX100mm)全息地图集式成像模式,可以在同时保持高分辨率情况下对样品进行全自动的无遗漏信息采集。 /p h1 label=" 标题居中" style=" font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: center margin: 0px 0px 20px " span style=" font-size: 16px font-style: italic font-weight: bold line-height: 18px color: rgb(255, 0, 0) " 透射电镜:半导体等工业应用技术再受关注 /span /h1 p   2018年透射电镜方面,主要是日本电子推出针对半导体领域的JEM-ACE200F,赛默飞推出配置于Themis Z的下一代S-CORR像差探头校正器。前者主要针对半导体领域对形态观察、临界尺寸测量、元素分析等方面的数据采集提出了快速、稳定、高分辨率的需求 后者主要针对材料科学领域原子分辨成像等高端科研需求。 /p p    span style=" color: rgb(112, 48, 160) " strong 日本电子高通量透射电镜JEM-ACE200F /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/2b7d9dbb-2299-4755-b404-070a52e002e0.jpg" title=" 7.jpg" alt=" 7.jpg" style=" width: 450px height: 287px " width=" 450" vspace=" 0" height=" 287" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 高通量分析透射电镜JEM-ACE200F /span /p p    a href=" https://www.instrument.com.cn/news/20181212/476966.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年12月,日本电子推出高通量分析透射电镜JEM-ACE200F /span /a 。随着半导体工业中器件的进一步小型化,透射电镜已成为器件表征多种应用中必不可少的工具。这些应用包括形态学观察、临界尺寸测量、元素分析、局部应变分析和掺杂浓度测量。特别该工业对形态观察、临界尺寸测量、元素分析等方面的数据采集提出了快速、稳定、高分辨率的要求,以便将这些数据采集反馈至制造过程。针对此需求,日本电子推出JEM-ACE200F。 /p p   JEM-ACE200F通过创建实际操作工作流程系统,无需操作人员看管操作,即可实现数据的自动生成。由于集成了高端电镜JEM-ARM200F和通用型电镜FE-TEM JEM-F 200硬件技术,JEM-ACE200F在性能和稳定性方面表现优秀。主要特点包括:高通量(与自动显微镜调谐功能相结合的快速数据采集 缩短抽真空时间,从插入样品杆到开始观察只需30秒) 友好用户界面(所有的操作可通过鼠标操作完成) 流程方案易于编程和更改(工作流程可以使用各种标准化的编程语言进行灵活的编程) 环保设计。 /p p    span style=" color: rgb(112, 48, 160) " strong 赛默飞下一代S-CORR探头校正器(配置于Themis Z) a href=" https://www.instrument.com.cn/netshow/C139308.htm" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 【产品链接】 /span /a /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/05aaba00-335f-4708-9b5f-d531a021d849.jpg" title=" 8.jpg" alt=" 8.jpg" style=" width: 250px height: 349px " width=" 250" vspace=" 0" height=" 349" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 配制S-CORR探头校正器的Themis Z /span /p p   2018年8月,赛默飞推出配置于Themis Z的下一代S-CORR探头校正器。Themis Z扫描透射电镜是建立在其亚埃级 STEM成像能力的基础上,S-CORR是其下一代探头像差校正。凭借S-CORR,Themis Z能够以低加速电压提供优异的STEM成像和光谱性能,使科学家能够分析电池,燃料电池,催化剂和轻金属以及历史上难以成像的合金等材料。通过改进探针尺寸和形状,同时在研究过程中保持样品的完整性,研究人员可以通过在原子水平上揭示其结构和化学,更好地了解如何提高材料的性能。 /p p   完成S-CORR升级后,Themis Z将经过验证的光学器件和全新突破性STEM成像功能与增强的自动化软件相结合,为材料科学家们提供极佳的成像性能。通过独特的 EDX 产品组合,Themis Z 在采用单一物镜配置的单一工具中提供更全面的原子表征数据。 /p h1 label=" 标题居中" style=" font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: center margin: 0px 0px 20px " span style=" font-size: 16px font-style: italic font-weight: bold line-height: 18px color: rgb(255, 0, 0) " 台式电镜:荷兰飞纳领衔进入台式场发射时代 /span /h1 p   台式电镜方面,荷兰飞纳推出台式场发射(FEG)电镜能谱一体机Phenom LE,台式电镜进入台式场发射时代,其分辨率达2.5nm。驰奔仪器与善时仪器也分别推出台式电镜新品Cube-200、SS-150,主要在更加小巧、性能优化方面进行创新。 /p p    span style=" color: rgb(112, 48, 160) " strong 荷兰飞纳(赛默飞)台式场发射(FEG)电镜能谱一体机Phenom LE a href=" https://www.instrument.com.cn/netshow/C287768.htm" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 【产品链接】 /span /a /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/51fcfc5c-e7c6-47e8-8e0e-2681d44ef06f.jpg" title=" 9.jpg" alt=" 9.jpg" style=" width: 300px height: 244px " width=" 300" vspace=" 0" height=" 244" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 台式场发射(FEG)扫描电镜 Phenom LE /span /p p    a href=" https://www.instrument.com.cn/news/20180725/468086.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018 年7月,荷兰飞纳推出全球首创台式场发射(FEG)扫描电镜能谱一体机Phenom LE /span /a 。Phenom LE采用肖特基场发射电子枪,集背散射电子成像,二次电子成像和能谱分析于一体,分辨率优于2.5nm@15kV,放大倍数500,000x。只需一张承重200kg以上的桌子就可以安装飞纳台式场发射(FEG)电镜,无需装修改造实验室,无需安装防震台、磁屏蔽。据介绍,飞纳台式场发射(FEG)电镜能谱一体机Phenom LE 将为用户节省 40% 左右的购买成本(相较于购买传统落地式场发射电镜和能谱),同时可为用户节省 20-60 万的实验室改造费用(安装防震台,磁屏蔽,装修实验室等),飞纳台式场发射只需要一张桌子(千元左右),维护也相对简单,省钱更省心。 /p p   其创新主要包括:从台式电镜升级到场发射(FEG)电镜能谱一体机,从 CeB6 灯丝升级到场发射灯丝 台式电镜分辨率从 30nm 提升至 2.5nm 此次升级的肖特基场发射电子源束流大,进一步激发样品产生充足的 X 射线。配置超薄“窗口”(Si3N4),元素探测范围:Boron(5)-Americium(95) 高效率体现为15秒抽真空、全程样品导航、全自动马达样 配置多功能选配应用软件,如颗粒系统、孔径系统、纤维系统等,使得一些统计分析的应用可一键完成。 /p p    span style=" color: rgb(112, 48, 160) " strong 驰奔仪器台式扫描电镜Cube-200 a href=" https://www.instrument.com.cn/netshow/C287665.htm" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 【产品链接】 /span /a /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/07ed783c-2a52-4e41-8f70-bb8bc994bfc8.jpg" title=" 10.jpg" alt=" 10.jpg" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 台式扫描电镜Cube-200 /span /p p   2018年初,驰奔仪器推出其第二代桌面台式扫描电镜Cube-200。Cube-200是其第二代钨灯丝扫描电镜,控制电器全面升级,产品结构更加合理,产品性能接近或超越同等规格一线电镜品牌同类产品。配置有三级电磁透镜,大变焦范围,长工作距离,四孔径物镜光阑,和大型扫描电镜具有同等配置,对于专业操作人员,更加得心应手。Cube-200拓展简单易用台式电镜专用X射线能谱仪(EDS),能快速获得微米亚微米范围微小区域化学元素定性定量结果 在工作条件固定模式下,也是一款高效率的成像检测设备。 /p p    span style=" color: rgb(112, 48, 160) " strong 善时仪器台式扫描电镜SS-150 a href=" https://www.instrument.com.cn/netshow/C273898.htm" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 【产品链接】 /span /a /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/afd261d2-6d8e-4c8a-87d0-8f3cb77b19c9.jpg" title=" 11.jpg" alt=" 11.jpg" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 台式扫描电镜SS-150 /span /p p    a href=" https://www.instrument.com.cn/news/20180929/472331.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年2月,善时仪器推出台式扫描电镜SS-150 /span /a 。SS-150体型小巧,占用空间小 放大倍率30~150,000X 5nm分辨率 采用二次电子和背散电子两种探测器 通过选配EDS可进行元素成份分析 SS-150系列扫描电镜具有强大软件功能,操作简单,易于维护 自动平台采用中心定位模式,迅速定位待测样品 软件实现检测图形实时存储。 /p h1 label=" 标题居中" style=" font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: center margin: 0px 0px 20px " span style=" color: rgb(255, 0, 0) " strong span label=" 明显强调" style=" font-size: 16px font-style: italic font-weight: bold line-height: 18px " 聚焦离子束扫描电镜:泰思肯连发3款 /span /strong /span /h1 p   聚焦离子束扫描电镜方面,泰思肯在2018年连续推出3款S8000X、S9000X、S9000G,算上之前推出的S8000G,以及场发射电镜新品S8000、S9000。泰思肯在不到两年时间内,迅速更新了电镜产品线,第四代电镜产品系列S8000系列(S8000、S8000 X、S8000G)、S9000系列(S9000、S9000 X、S9000G)系数登场,也侧面体现了泰思肯近来大举拓展市场的决心。 /p p    span style=" color: rgb(112, 48, 160) " strong 泰思肯Xe FIB-SEM双束电镜S9000X a href=" https://www.instrument.com.cn/netshow/C307969.htm" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 【产品链接】 /span /a /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/a5076cdc-86c0-4b22-97de-8c1c510a5c2a.jpg" title=" 12.jpg" alt=" 12.jpg" style=" width: 300px height: 199px " width=" 300" vspace=" 0" height=" 199" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " Xe FIB-SEM双束电镜S9000X /span /p p    a href=" https://www.instrument.com.cn/news/20180810/469150.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年8月,泰思肯推出新一代的氙等离子源双束电镜系统S9000X /span /a 。S9000X配备超快速的氙等离子源,具有极高的精度和极高的效率。其最新一代Triglav& #8482 镜筒的探测器系统具有非常优异的表面灵敏度和出色的对比度 另一方面,新的 iFIB+& #8482 离子镜筒进一步扩大了Xe等离子FIB的应用领域,提升了大体积样本微加工和3D微量分析的能力,并且大大缩短了加工时间。 /p p   9000X提供了纳米尺寸结构分析所必需的高分辨率和表面灵敏度,为大体积 3D 样品特性分析提供了优异条件 同时,它还提供优异的FIB功能,可实现精确、无损的超大面积加工,包括封装技术和光电器件的横截面加工,为大尺寸试样进行高效率制备和高分辨表征提供了优异解决方案。 /p p    span style=" color: rgb(112, 48, 160) " strong 泰思肯Ga FIB-SEM双束电镜S9000G /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/b6275aa3-acd5-43f8-93b6-6180fe391ade.jpg" title=" 13.jpg" alt=" 13.jpg" style=" width: 300px height: 193px " width=" 300" vspace=" 0" height=" 193" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " Ga FIB-SEM双束电镜S9000G /span /p p    a href=" https://www.instrument.com.cn/news/20181207/476671.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年11月,泰思肯推出超高分辨型镓离子源双束FIB系统S9000G /span /a 。S9000G适用于超薄TEM样品制备和其它具有挑战性的纳米加工任务。S9000G 配置了Orage& #8482 FIB镜筒,不仅为纳米加工提供了最佳的精度,高达100 nA的大离子束流,还可以对生物样品和材料进行指定位置、大体积的三维逐层扫描,图像具有更出色的对比度。得益于低电压下离子束的出色分辨率和性能,TESCAN S9000G可以快速获得最佳质量的小于20nm的超薄TEM样品。 /p p    span style=" color: rgb(112, 48, 160) " strong 泰思肯Xe FIB-SEM双束电镜S8000X /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/c3bf2da9-f88c-477d-b4d2-35849aab550e.jpg" title=" 14.jpg" alt=" 14.jpg" style=" width: 300px height: 231px " width=" 300" vspace=" 0" height=" 231" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " Xe FIB-SEM双束电镜S8000X /span /p p    a href=" https://www.instrument.com.cn/news/20181207/476671.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年11月,泰思肯推出氙等离子源双束电镜系统S8000X /span /a 。S8000X使用了全新开发的电子和离子光学镜筒,配备了最新的多种探测器并集成多项创新设计,尤其是在高分辨能力、原位应用扩展能力和分析扩展能力达到了业内先列。 /p h1 label=" 标题居中" style=" font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: center margin: 0px 0px 20px " span style=" font-size: 16px font-style: italic font-weight: bold line-height: 18px color: rgb(255, 0, 0) " 电镜相关软件:蔡司推出2款增强软件 /span /h1 p   电镜软件方面,电镜商多数在推出的电镜新品中,也对相对应软件系统进行了更新或加强。如赛默飞Prisma的MAPS软件包可由多个图像创建大面积复合图像,并对数据进行关联;SU7000配置可同时6通道显示界面,进一步升级SEM控制系统,大幅提高了信号获取速度;日本电子JSM-IT200标配Live Analysis功能,元素分析效率高 用SMILE VIEW& #8482 Lab,很容易生成数据报告;泰思肯S9000配置了全新立体设计的Essence& #8482 计算机处理系统等。与此同时,蔡司单独推出2款增强软件和平台:ZEN connect、APEER,主要侧重于蔡司优势的生物领域,并充分拓展了光电联用技术的应用。 /p p    span style=" color: rgb(112, 48, 160) " strong 蔡司增强软件功能新技术-ZEN connect /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/124f2540-5321-4d3e-bee5-bcb7fc5bbe1f.jpg" title=" 15.jpg" alt=" 15.jpg" style=" width: 300px height: 161px " width=" 300" vspace=" 0" height=" 161" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " ZEN connect界面 /span /p p    a href=" https://www.instrument.com.cn/news/20180516/463960.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年5月,蔡司推出采用增强成像技术的新软件模块ZEN connect /span /a 。ZEN connect能够在结构分析、细胞过程性检查、细胞定位等多种相关分析中发挥巨大作用。该模块进一步加强了蔡司软件的功能,赋予其三个新特征——直观的数据管理、简化的样本工作流程、以及无限导航。 /p p   ZEN Connect用户可使用任何显微镜着手工作,可以分析完整的大规模样本,甚至可以用自动覆盖和重新定位的算法识别特定区域。ZEN Connect还是唯一一款可以让用户在更宽泛的环境下分析特定数据的软件模块,其所分析的数据能够结合大视场图像和极高分辨率的细节。这意味着ZEN Connect能够更好地控制复杂实验装置中的数据结构。该模块可适配于蔡司的全套显微设备,包括光学、共焦、X射线、电子、离子显微镜等。蔡司LSM 800和蔡司GeminiSEM是与ZEN Connect搭配使用的特别推荐。当然,ZEN Connect也能够与蔡司其他绝大多数的光学和电子显微镜兼容。 /p p    span style=" color: rgb(112, 48, 160) " strong 蔡司云端数字显微镜平台APEER /strong /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/1cbf64b9-5e0a-4ef7-b007-53fa8e14a60a.jpg" title=" 16.jpg" alt=" 16.jpg" style=" width: 300px height: 200px " width=" 300" vspace=" 0" height=" 200" border=" 0" / /p p style=" text-align: center " span style=" color: rgb(0, 176, 240) " 数字显微镜平台——APEER /span /p p    a href=" https://www.instrument.com.cn/news/20180816/469470.shtml" target=" _blank" style=" color: rgb(0, 176, 240) text-decoration: underline " span style=" color: rgb(0, 176, 240) " 2018年8月,卡尔蔡司推出其基于云技术的最新数字显微镜平台APEER /span /a 。APEER平台可以帮助显微镜用户,通过利用应用程序工作流进行3D重建,染色或分割等方式,实现在云端自动处理图像。该平台除了能够在平台上交换科学应用程序之外,该设计还旨在促进科学家之间的协作,并提供社区建设功能,例如讨论论坛及交换数据集或在现有工作流基础上进行构建的机会。 /p p   蔡司显微镜业务组负责人Markus Weber博士表示:“通过APEER,我们对研究人员的工作本身给予了更多关注,而不再仅仅是关于图像处理的,为他们提供了协作和构建所需的相关工具,满足其特定研究需求的解决方案。” /p
  • 24年全球半导体设备企业或增收7%,中国需求持续高位
    华泰证券发布研报称,通过对全球及中国31家主要半导体制造企业和22家半导体设备企业1Q24年业绩和市场一致预期的分析,华泰证券得出:1)全球主要半导体制造企业资本开支1Q24同比下滑15%,2024全年将同比下滑4%;2)1Q24全球主要设备企业收入同比下滑5%,但2024全年将同比增长7%。1Q24海外设备企业中国区收入与中国设备企业收入合计同比增长93%,占全球总收入47%,2024全年将同比增长5%;3)中国主要设备企业收入保持同比39%稳健增长,1Q24总收入占中国市场的11.4%。展望2024,全球设备市场缓慢恢复,看好部分公司现有产品份额提升和新品拓展。华泰证券主要观点如下:资本开支:2024年中国区保持高强度扩产,海外成熟制程企业相对保守2024年,根据公司指引及彭博一致预期:1)中国大陆成熟制程扩产保持强劲,中芯国际(16.44, -0.04, -0.24%)指引2024年资本开支与2023年持平,华虹为推进无锡二厂投产,进入资本开支高投入期,指引2024年约25亿美元(同比+176%),但格罗方德和世界先进等海外成熟制程企业资本开支计划相对保守,2024年分别同比下降60.8%/46.1%;2)汽车、工业领域仍处于去库存阶段,全球IDM企业资本开支或同比下滑20%;(3)各大封测厂加大2.5/3D先进封装、存储、汽车等相关方向投入,2024年资本开支有望同比增长13%。1Q24中国市场回顾:占比自1Q23的23%逐季提升至47%的高位回顾1Q24中国市场:1)在中国区成熟工艺设备需求旺盛作用下,海外主要设备企业中国区收入与中国设备企业收入合计全球占比1Q24达到47%的高位,该比例自1Q23的23%环比逐季度提升;2)国内本土主要设备厂商快速发展,1Q24收入同比增长39%,1Q24总收入占中国市场的11.4%。前道设备方面,北方华创为代表的公司刻蚀、薄膜沉积、清洗和炉管设备等多种装备均取得突破。后道设备方面,长川科技、华峰测控等持续向高端SoC测试机领域进阶。展望2024年全年,该行认为中国区需求仍会保持在高位,但在海外市场2H24需求修复背景下,全年占比或将下降至35%。1Q24海外市场回顾:AI及存储需求有望拉动全球市场在2H24回暖回顾1Q24海外市场:1)逻辑:海外先进工艺及成熟工艺设备投资均较为疲软,1Q24全球晶圆代工资本开支同比下降31.4%;2)存储领域设备投资保持谨慎,1Q24全球存储资本开支同比下降8.3%。但展望2024全年:1)AI需求有望带动2H24先进工艺资本开支修复,Lam将2024年全球WFE预期从850~900亿美元(mid-high $80Bs)上调至900~950亿美元(low-mid $90Bs);2)DDR5及HBM需求将保持旺盛,NAND需求有望在2H24复苏,共同带动全年存储资本开支同比降幅收窄至2%。风险提示:半导体周期下行,测算和可得数据的局限性,本研报中涉及到未上市公司或未覆盖个股内容,均系对其客观公开信息的整理,并不代表本研究团队对该公司、该股票的推荐或覆盖。
  • 大基金二期动作频频 年内已增资多家半导体公司
    今年以来,大基金二期动作频频,先后入股模拟芯片设计厂商集益威半导体、EDA解决方案提供商全芯智造、陶瓷材料厂商臻宝科技,以及半导体设备厂商新松半导体等半导体公司。全芯智造天眼查显示,近日,全芯智造技术有限公司(简称:全芯智造)完成工商变更,增加大基金二期等股东,本轮增资后,大基金二期持有全芯智造的股份为11.1111%,为该公司的第三大股东。资料显示,全芯智造是一家国产EDA厂商,其创始人、CEO为倪捷,曾在EDA领域的国际龙头公司Synopsys担任中国区副总经理,主抓集成电路制造EDA;还曾于台湾上市公司世芯电子任职COO,负责公司的全球销售、市场和全产业运营。除了大基金二期外,全芯智造前两大重要股东则是武岳峰科创、华大半导体。前者仅通过北京武岳峰亦合高科技产业投资合伙企业(有限合伙)持有全芯智造13.5%的股份。武岳峰科创的创始人潘建岳,同时是全芯智造的董事长。另外,华大半导体则持有公司11.97%的股份。新松半导体近日,新松机器人全资子公司新松半导体在北京产权交易所以公开挂牌方式引入战略投资者实施增资扩股,北京集成电路装备产业投资并购基金、大基金二期、中微上海公司等通过参与本次公开挂牌对新松半导体进行增资。本次增资扩股完成后,新松半导体注册资本将由2亿元变更为2.8亿元,新松机器人将持有新松半导体 71.4286%的股权。而大基金二期将持有新松半导体7.3571%的股份,为其第三大股东。臻宝科技近日,重庆臻宝科技股份有限公司(简称“臻宝科技”)完成工商变更,新增多名股东,包括大基金二期、上海半导体装备材料二期基金、华虹红芯基金、渝富控股旗下股权投资基金、武汉金融控股旗下股权投资基金、中芯聚源以及元禾璞华等。其股东数由原来的9家,增加至当前的24家。据天眼查显示,大基金二期认缴出资额为458.8784万元,对臻宝科技的持股比例为3.94%,位列第六大股东。武汉金融控股集团通过长存产业投资基金(武汉)合伙企业(有限合伙),持有2.25%股权,为第九大股东;中芯聚源则通过苏州聚源振芯股权投资合伙企业(有限合伙),持有1.84%股份,为第十大股东。臻宝科技成立于2016年,专业从事半导体和泛半导体设备核心零部件及先进陶瓷材料研发、制造及销售,主要业务包含半导体刻蚀及气相沉积设备真空零部件制造、显示面板真空零部件新品制造及翻新、半导体显示及集成电路零部件清洗再生服务、功能性精密陶瓷材料制造四大板块。据人民网,截至2023年10月,臻宝科技实现年销售收入超过4亿元,产品供应于京东方、华星光电等企业。臻宝科技已对A股上市发起冲刺。今年1月31日,公司与中信证券签订上市辅导协议。值得一提的是,中信证券投资有限公司也出现在了臻宝科技的新增股东序列中,前者目前持股比例为1.23%。牛芯半导体今年2月,牛芯半导体(深圳)有限公司(简称“牛芯半导体”)体完成C+轮融资,出资方包括国家集成电路产业投资基金二期、广东省半导体及集成电路产业投资基金、航天京开、龙鼎投资、万创华汇、中信建投资本、高云资本等。天眼查显示,大基金二期持有牛芯半导体6.7568%的股份,为其第三大股东。牛芯半导体成立于2020年,聚焦接口IP的开发和授权,并提供相关整体解决方案,致力成为全球领先的IP供应商。基于自主可控的核心技术,牛芯半导体在主流先进工艺布局SerDes、DDR等中高端接口IP,产品广泛应用于消费电子、网络通信、数据存储、人工智能、汽车电子、医疗电子等领域。九同方微电据天眼查显示,湖北九同方微电子有限公司(以下简称“九同方”)近期发生多项工商变更,新增股东国家集成电路产业投资基金二期股份有限公司。大基金二期一出手就跻身第六大股东,持股占比为7.781%。九同方微电子有限公司创立于2011年11月,是一家专注于IC设计服务的国际化软件公司。其目标是开发中国自己的EDA软件,专注于射频芯片领域。值得关注的是,哈勃投资目前是九同方微电子的最大股东,这也是它旗下首家EDA领域的被投公司。公司拥有全球EDA领域资深架构师和领先的IC设计专家,公司核心团队能够提供完整的IC流程设计工具,在IC设计领域,具有强劲的实力。在集成电路、RFIC、高速互连SI、手机等领域,都有成功的应用。其官方消息显示,公司由源自硅谷的多名留美博士组成核心研发团队,形成海内外研发梯队,在打造“精度比肩和速度超越”的片上电磁仿真工具的同时,继续聚焦电磁的“全尺寸”和“多物理场”仿真两个领域,并致力提供“芯片-封装-系统”全尺寸场景下的最优电磁场解决方案。长电科技汽车电子公司天眼查APP显示,近日,长电科技汽车电子(上海)有限公司发生工商变更,新增大基金二期、上海集成电路产业投资基金(二期)有限公司等为股东,同时公司注册资本由4亿元增加至48亿元。其中,大基金二期认缴资本8.64亿元,持股比例为18%,是该公司第二大股东。加特兰据朗玛峰创投消息,加特兰于近日宣布完成数亿人民币的D轮融资。本轮融资由国家集成电路产业投资基金二期股份有限公司、上海国鑫创业投资有限公司、福创投,老股东国投招商、华登国际投资追投。加特兰是全球CMOS毫米波雷达SoC芯片领军者。加特兰创立于2014年;2017年成功量产了全球首个汽车级CMOS工艺77/79GHz毫米波雷达射频前端芯片,率先实现了在汽车前装市场的突破;2019年又率先推出了集成雷达信号处理基带加速器的SoC芯片,为高性能、易开发、小型化毫米波雷达传感器的开发实现带来了全新的变革。此外,加特兰还量产了全球首个77GHz和60GHz毫米波雷达封装集成片上天线(AiP)SoC芯片,加速了毫米波雷达在汽车和工业消费市场的普及。集益威半导体天眼查显示,6月27日,集益威半导体(上海)有限公司(简称:集益威半导体)发生工商变更,新增国家集成电路产业投资基金二期股份有限公司、上海中移数字转型产业私募基金合伙企业(有限合伙)等股东,同时,注册资本增至1487.2721万人民币,增幅达+ 8.93% 。此次增资完成后,大基金二期持有集益威半导体约1.64%的股份,中移资本则成为公司的第六大股东,持股比例超过6.5%。集益威半导体总部位于张江微电子港,是海归团队共同创办的基于中国本土的高端IC(集成电路)设计公司,致力于高性能和低功耗PLL、ADC、DAC、SerDes研发和产业化服务。从公司的专利信息来看,其多项发明专利涉及通信技术领域。
  • 中微公司尹志尧:国产半导体设备进入突围关键局
    近年来,国内半导体设备实现了从无到有、从弱到强的质的飞跃,高端设备自给率逐步提升。据国际半导体产业协会(SEMI)、日本半导体制造装置协会(SEAJ)统计数据,2023年全球芯片设备(新品)销售额为1062.5亿美元,同比萎缩1.3%。中国市场销售额年增29%至366亿美元,连续第四年成为全球最大芯片设备市场。在某档以“半导体设备突围关键局”为主题的节目中,邀请了中微公司董事长、总经理尹志尧,拓荆科技董事长吕光泉,华海清科董事、总经理张国铭,中科飞测董事长、总经理陈鲁等行业领军人物,共同探讨了中国半导体设备产业的发展现状与未来趋势。▲从左至右:广发证券发展研究中心总经理许兴军,拓荆科技董事长吕光泉,中微公司董事长尹志尧,华海清科总经理张国铭,中科飞测董事长陈鲁自主创新在国际形势紧张的背景下,本土化成为了中国半导体设备产业的必由之路。在自主创新方面,中微公司作为国内领先的半导体设备制造商,自2004年创办的前十年,只集中精力开发了高能等离子刻蚀机(CCP)这一种设备,后十年又开发出低能等离子刻蚀机(ICP)和MOCVD设备。最近几年,中微公司则在扩展化学薄膜设备的门类和市场准入等方面发力。“我们的离子体刻蚀机,包括高能CCP及低能ICP刻蚀机,可以全面取代国际先进设备。化学薄膜设备的覆盖度也逐步扩大,特别是在导体薄膜及EPI外延设备取得极快的进展。”尹志尧介绍。中微公司成立之初的600多个供应厂商遍布全世界,如果其中有一个供应厂商不跟你玩了,那公司就无法正常运营。而目前中微公司的主要零部件自主可控率已达到90%以上,计划在2024年第三季度末实现核心零部件100%的自主可控,尹志尧表示:“在过去二十年里,我们的自主可控进程总体进展顺利。”拓荆科技专注于薄膜沉积设备和混合键合设备的研发和产业化。据吕光泉介绍,公司已形成了一系列具有自主知识产权的核心技术和量产成果。其中,公司新推出的晶圆对晶圆混合键合设备是国产首台应用于量产的混合键合设备,其性能和产能指标均已达到国际领先水平。最早从CMP起步的华海清科,推出国内首台12英寸CMP装备。张国铭表示,国内市场CMP装备领域的国产替代已实现。不仅如此,公司推出的12英寸减薄抛光一体机,也填补了国内芯片装备行业在超精密减薄技术领域的空白。陈鲁指出,中科飞测所处的半导体量检测设备行业国产化率较低,公司多项关键技术持续突破海外垄断。公司已布局形成9大系列设备和3大系列智能软件的产品组合,产品性能对标海外垄断厂商,满足国内主流客户的所有光学检测和量测需求。陈鲁强调的是,任何一个半导体装备升级换代的研发,不断向高端前进,其实都是装备厂商和上游所有零部件企业一同的发展。“所以,我们也特别希望,所有零部件企业也能跟我们一块去啃这些硬骨头。”同时也要兼顾国际合作尹志尧在节目中表示,尽管中国在半导体设备领域与国际先进水平存在差距,但在未来5-10年内,中国完全有可能达到国际先进水平。目前,国内设备公司正在快速追赶,自主可控的半导体设备已能覆盖集成电路生产线的15%-30%。中微公司的等离子体刻蚀机已能全面取代国际先进设备,化学薄膜设备的覆盖度也在不断提高。尹志尧透露,中微公司近期决定将尽快开发出电子束检测设备,这是国内除了光刻机以外最大的短板。拓荆科技和华海清科也都采取了相应措施确保供应链的安全,这一进展标志着中国半导体设备产业在供应链安全方面迈出了坚实的步伐。然而,尹志尧同时强调, “理想状态下,全球集成电路产业应该是互相协同的。它牵扯了几千个步骤,上下游链非常强,很少能有一个国家或企业能从上到下全部打通,”全球集成电路产业应该是互相协同的。嘉宾们也表示,尽管本土化是当前形势下的必然选择,但中国企业也不应该完全封闭,仍需通过国际合作不断壮大,以实现产业链的完善和技术的提升。“我们也不能放弃在国外打市场的计划,短期内大部分市场在国外的事实没有改变,我们还要继续努力。”尹志尧表示。对于海外市场,嘉宾们提出了不同的策略,包括提高产品质量和性价比、开发独有的技术以及寻找合适的合作伙伴等。中国留学生对半导体设备行业贡献最大在尹志尧看来,推动科技与技术发展的最重要因素有三,包括资金、人才和耐心。资金是影响半导体设备产业发展的重要因素。尹志尧进一步指出,股本金、低息贷款和研发项目资助是企业,尤其科创企业需要的三个主要资金。中微已经实现了盈利,公司最需要的资金不再是股本金,而是研发项目资助。“集成电路在国外已持续发展近40年,我们大力发展集成电路产业时,面临的最大问题是不对称竞争。”尹志尧指出,国际大公司的体量是国内几十甚至上百倍,国内公司研发经费短缺,制程上又落后3-5代。要在极短的时间内补上短板,开发出70%-80%的半导体设备,需要大量研发经费。“这光靠一家企业单打独斗还是不够的,企业需要政府各方面的支持,提供研发资助。”尹志尧同时表示,“当然,产业也是仰仗着国家改革开放,发展势头才如此迅猛,现在已经有不下100家设备公司,规模较大的有20多家。”关于人才,尹志尧认为“有钱很重要,但人才更重要”。他提到硅谷40年来开发的至少10种国际先进设备中,包括高能等离子刻蚀机,低能等离子刻蚀机等等。“你真正看这些设备时,谁在做呢?其实百分之七八十都是中国留学生干起来的。”不过他同时感慨好在其中的大部分人都已经回国了,这些归国人才在各个领域发挥着重要作用,与国内专家合作,推动了中国半导体设备产业的发展。企业兼具了钱和人才,接下来就很考验耐心,需要“慢工出细活”。“这行业真不能着急,就像我们的刻蚀机水平从微米到纳米,现在已经做到了皮米水平,大约是人头发丝的350万分之一。这个准确度,不是吹牛可以吹出来的。”尹志尧表示。没有过不去的坎展望未来,尹志尧对国内半导体设备产业的发展前景充满信心。“我们非常欣喜地看到,中国上百个设备公司都在拼命努力,发展速度特别快。成熟的公司有20多家,几乎涵盖了半导体十大类设备的所有门类。”国产半导体设备取得的成就是显著的,但另一方面正如许兴军所言,在有些领域还是海外企业占据了比较大的份额,在某些环节上还呈现某个外企寡头垄断态势。“国内可以提供的设备占集成电路生产线的百分比,保守一点说15%是没问题的,进取的说法是30%,甚至高一点也都有可能。”他认为,通过持续的技术创新和市场开拓,中国半导体设备产业有望在未来3-5年内实现更大的增长和突破。尹志尧表示,从设备角度没有看到(高端领域的)瓶颈,技术上也没有越不过去的坎。还是要咬紧牙关,一步一步有耐心地往下做,一定可以做好。“其实有很多人误解说我们做的刻蚀机,有做5纳米刻蚀机、3纳米刻蚀机、14纳米刻蚀机,这个是错误的概念,其实我们同样一个设计,当然有一些改进升级,是一直从45纳米一直做到2纳米都没问题。但有一些精化的过程。我并没有看到技术上有越不过去的坎。所以还是那句话,要咬紧牙关,一步一步有耐心地把它往下做,就可以做好。”同时,尹志尧也强调了AI技术在集成电路和设备领域的应用潜力,以及2D到3D显示的变化、Chiplet和3D IC技术带来的新机遇。他认为AI是一个很大的市场,“但是我要特别讲清楚,AI不是一场革命,AI只是数码产业的一个应用,它跑不出数码产业,跑不出1010,也跑不出集成电路。这是一个应用,但这个应用的范围特别广特别深刻,所以我们要找到这里面对集成电路的作用和设备的作用。”
  • “半导体主题月”开启—仪器信息网4月用户活动抢先看
    半导体产业作为现代信息技术产业的基础,已成为社会发展和国民经济的基础性、战略性和先导性产业,是现代日常生活和未来科技进步必不可少的重要组成部分。当前,全球半导体科技和产业的竞争愈演愈烈,各国围绕提升半导体领域竞争力,相继出台了一系列政策举措。半导体行业归根结底属于设备类行业,行业内素有“一代设备,一代工艺,一代产品”的说法。SEMI在SEMICON Japan 2022上发布了《2022年度总半导体设备预测报告》。报告指出,原设备制造商的半导体制造设备全球总销售额预计将在2022年创下1085亿美元的新高,连续三年创纪录,较2021创下的1025亿美元行业纪录增长5.9%。基于此,仪器信息网联合电子工业出版社启动“半导体主题月”活动,围绕半导体行业热点开展系列活动。仪器信息网将组织《仪咖说》、宝藏实验室、网络会议、答题挑战赛、仪友会、采购宝典/实战宝典、采购节、Easy选型、线上采购交流会等系列活动,助力中国半导体产业发展和技术进步。“半导体主题月”系列活动活动时间活动主题报名链接4月7日《仪咖说》主题:洞见第三半导体产业机遇与挑战——材料、器件、仪器设备《仪咖说》vol.20直播预告:洞见第三代半导体产业发展机遇与挑战——材料、器件、仪器设备4月11日半导体主题月系列讲座—第三代半导体功率器件研究与检测技术半导体材料、器件研究与检测技术系列讲座4月18日半导体主题月系列讲座—光电材料、器件研究与检测技术4月26日半导体主题月系列讲座—传感器/MEMS研究与检测技术此外,仪器信息网在4月还将结合行业热点、邀请行业专家推出系列重磅网络会议及精彩活动,期待你的参与。学仪器--3i讲堂热点网络会议会议名称直播时间点击查看食品与农产品质量安全检测技术网络会议4月11日 -- 4月13日立即报名第二届太赫兹技术进展与应用网络研讨会4月12日立即报名微塑料检测与分析网络研讨会4月27日 -- 4月28日立即报名超级品牌日大道至简-沃特世全新液相色谱新品发布会04月18日 14:00立即报名学仪器--仪课通精选课程及训练营课程名称活动时间点击查看仪课通会员-课程随心看4月即将上线《离子色谱从入门到进阶》3月29日-4月14日立即报名《农残检测国标新技术》4月6日-4月20日立即报名找工作--人才频道活动活动名称活动时间点击查看“下一站出发”-仪器销售岗专场云聘会3月16日-- 4月15日立即参与买仪器—导购平台热门活动活动名称活动时间点击查看空气检测新标准采购节联合厂商解析新标准、助力仪器选型3月27日-4月16日立即参与
  • 赛默飞携旗下最新半导体解决方案亮相SEMICON CHINA 2019
    2019年3月21日,上海——科学服务领域的世界领导者赛默飞世尔科技(以下简称:赛默飞)亮相于上海举办的SEMICON CHINA 2019展会,现场发布展示了其最新一代的产品及半导体综合解决方案,新品致力于提高工厂及分析性实验室效率,进一步助力中国半导体事业的发展。赛默飞亮相SEMICON CHINA 2019展会现场自2014年6月《国家集成电路产业发展推进纲要》正式发布,中国的半导体行业拉开了高速发展的序幕。近年来,应用可编程芯片(FPGA)、人工智能云端以及边缘运算端芯片等一系列前沿技术的半导体新产品开发正如火如荼地进行——半导体产业的技术节点也在不断提高,实现更先进的制程工艺、更高的良率、更大的产能成为半导体行业迫切需要面对和解决的问题。赛默飞中国区总裁艾礼德(Tony Acciarito)表示:“新兴技术市场趋势以及政策支持正为中国半导体和电子科技产业带来了前所未有的机遇,秉持‘扎根中国、服务中国’的发展战略,我们期待利用自身在半导体行业的丰富经验及优势,携手本土合作伙伴,共同推动中国半导产业的发展和创新。”在此次SEMICON CHINA 2019上,赛默飞从多个维度综合展现了其在实验室方案、失效分析、环境分析以及生产支持方面的综合能力以及一体化解决方案。其中以Thermo Scientific™ Helios 5为代表的一众新品,也通过SEMICON CHINA 2019这个绝佳的平台第一次在中国与业界的朋友们见面。Thermo Scientific™ Helios 5赛默飞首发推出最新一代Thermo Scientific™ Helios 5,这款全新的先进的小型聚焦离子束扫描电子显微镜将用于纳米材料的表征和分析。Helios 5产品系列的发布解决了目前越来越多的半导体技术挑战,包括更小的几何形状,3D结构,新材料和大量的分析样品以提高产量和根本原因分析。随着半导体产业从7nm技术节点转向5nm技术节点,Helios 5 TEM和Atom Probe样品制备软件提高对最先进的原子级的结构和成分信息的获得能力。它可以在纳米尺度上实现最高质量的表面和3D信息,并且可以最精确地定位感兴趣的区域。赛默飞最新一代Thermo Scientific™ Helios 5Thermo Scientific™ ELITE™ 系统近几年来,半导体工业已经普遍接受使用ELITE (Enhanced Lock In Thermal Emission) 实现电性失效分析定位的工作。ELITE具有极佳的灵敏度,可以帮助传统EMMI / OBIRCH 检测的不足。ELITE 还可以作为非破坏性检测,对于封装后的元件,分析失效点深度的位置。除了先进制程和封装的需求,针对功率元件在车用电子的应用,ELITE还支持高电压的测试。工程师现场讲解及演示Thermo Scientific™ ELITE™ 系统Thermo Scientific™ Nicolet™ iG50 FT-IR 光谱仪赛默飞Nicolet旗下 iS50/iG50 系列硅片分析系统为硅片的研究或者大规模制造的质量控制提供了灵活强大的解决方案。 Nicolet iS50/iG50 系列硅片分析系统主要可以应用于氧、碳含量分析以及外延层 (EPI) 厚度测量。除此之外,iS50/iG50还可以应用于钝化层分析,例如:PSG、BSG、PBSG 中 PB 含量、Si中H的含量测定以及FSG。Thermo Scientific™ Nicolet™ iG50Thermo Scientific™ Nicolet™ iN10 光谱仪赛默飞Nicolet旗下 iN10是一种直观、创新、集成的一体化傅里叶变换显微红外光谱仪,具有安装简便、性能卓越、光谱质量高的特点。Nicolet iN10 显微红外光谱仪在半导体微电子领域主要应用于:固化胶的固化率测定、电子材料的分析与表征、显示材料的剖析与表征以及异物分析。Thermo Scientific™ Nicolet™ iN10Thermo Scientific™ iCAP™ TQs ICP-MS支持三重四极杆技术的 Thermo Scientific™ iCAP™ TQs ICP-MS 是一种高性能 ICP-MS,适用于在与半导体行业相关的多种挑战性基质中进行痕量元素超痕量定量检测。在不牺牲其作为灵敏、易用的工作台仪器所具备的检测能力的前提下,具有三重四极杆技术出色的干扰去除能力,可更好地对各种最具挑战性的基质中的元素杂质进行检测。由于 iCAP TQs ICP-MS 占地面积小,加上具有简化的工作流程并支持自动化方法开发而特别易于使用,使其成为用于确保关键生产工艺一致性和提高半导体硅片加工产量而进行在线质量控制的理想检查工具。Thermo Scientific™ iCAP™ TQs ICP-MSThermo Scientific™ NESLAB ThermoFlex 系列性能可靠的多用途制热/冷却恒温循环器,可为需要的小型实验室到大型工业过程,提供制热/冷却恒温循环的关键应用,最高可达24000瓦的制热/冷却恒温循环能力。本产品提供创新平台,可配置的设计,易于操作,以及卓越的性能。Thermo Scientific™ NESLAB ThermoFlexThermo Scientific™ Phenom™ ProXThermo Fisher Scientific 飞纳台式扫描电镜是半导体行业中高效的检测分析仪器。主要用于工业质量控制、缺陷分析和产品研发。可以选配 飞纳自动倾斜旋转样品杯或 U 中心样品杯观察半导体样品。通过倾斜旋转样品,能够展现独特的3D图像,分析样品上的隐藏特征,帮助用户把控产品质量。Thermo Scientific™ Phenom™ ProX展会现场,赛默飞展示了ELITE的实体机器并进行了DEMO演示,吸引了大批专业及相关人士的驻足了解问询。数量众多的同行以及访客在展台现场聆听了现场工程师讲解赛默飞在半导体行业的综合解决方案,并共同分享探讨了半导体行业内的前沿技术与最新市场动态。
  • 德州仪器可再生能源半导体技术创新峰会在深圳举行
    近日,由德州仪器主办的“2023年德州仪器可再生能源半导体技术创新峰会”在深圳举行。峰会期间,德州仪器联合学界、业界多位专家,围绕光伏、储能和电动汽车充电桩等前沿话题结合理论与实践,共同探讨半导体技术在可再生能源产生、储存和运输过程中的应用,以推动安全、可靠、高效的绿色能源系统落地,助力中国绿色智能电网建设。据悉,峰会期间德州仪器与专家围绕“半导体技术推动能源行业新机遇”“高压电源转换与GaN在其中的应用”“电池管理-提升储能安全的关键技术”等话题展开圆桌讨论和技术演讲,并在峰会的最后发布新品——霍尔效应传感器TMCS1123和光耦仿真器。  德州仪器技术经理郑越在演讲中指出,如今正在进行的能源转型将改变人们获取、存储和使用能源的方式,而半导体技术将赋能光伏、储能、电动汽车充电行业。德州仪器作为业内可靠的合作伙伴,通过不断发展优化高电压功率转换、电流传感与电压传感、边缘处理与通信和电池管理等领域技术,助力电气化发展。  会上,围绕“半导体技术如何赋能能源转型”的话题,新能安储能研发总监苏志高表示,在能源转型背景下,电化学储能作为一个主要的能源存储载体,全生命周期的安全可靠性是未来发展的重点。  特来电副总经理黄建宾认为,半导体技术是新能源领域的核心驱动力,可以提升可再生能源系统的转换效率、安全性和智能化水平。  谈及未来光伏行业的发展,古瑞瓦特储能产品线总监王飞飞称,光伏逆变器在加入储能系统后,对效率有较高的要求,为第三代半导体的应用创造了机会。  基于可再生能源的长循环寿命、长期安全可靠性等行业痛点,郑越表示,德州仪器在芯片和传统模拟器上大量投入,以提供功率变换效率以及减少体积为研发方向,希望用更低的成本提供更可靠的产品。  当谈及储能安全相关关键技术时海博思创产品总监郭富强表示,储能系统的最终客户价值就是“三高一长”即高安全、高能效、高经济性,长寿命。而电池管理芯片、处理器芯片、氮化镓等半导体技术在储能BMS中发挥着重要作用,提供更高的安全性、更高的效率和更加便捷的开发能力。  “安全作为整个储能行业的第一诉求,要遵循‘一防二消三泄’的理念。”阳光电源储能事业部总工程师周俭节说,除电池外,系统电气安全上也需具备可感、可知器件。  据介绍,峰会期间行业大咖分享、讨论了对可再生能源领域最新进展和未来展望的观点和看法,也希望此次峰会能够为推动可再生能源半导体技术的发展和应用提供平台和契机,为促进业界与学界的交流、合作搭建桥梁,持续推进可再生能源的发展,助力打造更环保的新型电力系统。
  • 盛美半导体设备首次公开发行股票并在科创板上市
    2021年11月18日,盛美半导体设备(上海)股份有限公司首次公开发行股票并在科创板挂牌上市。股票简称:盛美上海,股票代码:688082。上午9点30分,上市仪式以“云鸣锣”的方式举行,盛美半导体设备(上海)股份有限公司董事长王晖先生、上海市经济和信息化委员会副主任傅新华先生、上海华虹(集团)有限公司董事长张素心先生、上海科技创业投资(集团)有限公司原董事长沈伟国先生、海通证券股份有限公司党委副书记、总经理李军先生等以线上方式出席仪式并致辞。公司董事长王晖表示,本次科创板上市是盛美上海发展历程中的一个重要里程碑。公司将进一步巩固和提升公司在半导体设备领域的现有优势,通过新品项目的开发进一步丰富公司的产品线,继续发挥作为半导体设备平台化企业的优势,加速实现公司跻身综合性全球集成电路装备企业第一梯队的战略目标。盛美上海成立于2005年,专注于半导体专用设备的研发、生产和销售,主要产品包括先进半导体清洗设备、半导体电镀设备、立式炉管系列设备和先进封装湿法设备。公司立足差异化技术和原始创新的发展战略,通过多年的技术研发和工艺积累,成功研发出全球首创的国际先进的SAPS单片兆声波清洗技术、国际领先的TEBO单片兆声波清洗技术和Tahoe单片槽式组合清洗技术,可应用于28nm及以下技术节点的晶圆清洗领域,可有效解决刻蚀后有机污染和颗粒的清洗难题,并大幅减少浓硫酸等化学试剂的使用量,在帮助客户降低生产成本的同时,满足节能减排的要求。近几年,盛美上海也开发了半关键清洗设备:单片背面清洗及刻蚀设备、刷洗设备和全自动槽式清洗设备。目前,盛美上海还在继续开发其他几款新的清洗设备陆续推向市场,届时,盛美上海所有清洗设备可覆盖清洗工艺的比例将更高,夯实盛美在国内半导体清洗设备行业的龙头地位。盛美上海从单一的清洗设备,到先进封装湿法设备,再到镀铜设备及无应力抛光设备,而后再到立式炉管设备,进而跨入干法设备领域,每一次的突破都是因为公司坚持持续差异化创新发展的结果。盛美上海已发展成为中国少数具有国际竞争力的半导体设备供应商,产品得到众多国内外主流半导体厂商的认可,取得良好的市场口碑。经过多年的技术研发和工艺积累,截至2021年6月30日,公司及控股子公司拥有已获授予专利权的主要专利322项,其中境内授权专利152项,境外授权专利170项,其中发明专利共计317项,并获得“上海市集成电路先进湿法工艺设备重点实验室”称号。SAPS兆声波清洗技术荣获上海市科技进步一等奖。目前,公司已与华虹集团、中芯国际、晶合集成、粤芯、积塔半导体;长江存储、长鑫存储、海力士;士兰微、芯恩、格科微、卓胜微、德州仪器;长电科技、通富微电、长电绍兴、盛合晶微、芯德;合晶硅材料、金瑞泓、上海新昇;立昂东芯、芯物科技等等国内外半导体行业龙头企业形成了较为稳定的合作关系。未来,公司将始终坚持差异化技术和原始创新的研发战略,继续发挥公司在技术创新、客户资源、行业经验等方面的优势,通过自主研发形成了一系列技术积累;依靠国际化的人才团队,持续培养、建设一流的研发团队,吸引国内外高端专业人才;通过不断的推出具有国际领先水平的差异化新产品、新技术,提升公司的核心竞争力;通过高效的国内、国际市场开拓,提升市场占有率;在保持合理的毛利率的同时,扩大公司的收入规模,为客户及股东创造价值。
  • 赛默飞发布三款用于半导体领域新品 提升实验室分析效率
    p    strong 2017年7月4日,成都 /strong ——近日,科学服务领域的世界领导者赛默飞世尔科技(以下简称:赛默飞)亮相成都第 24 届国际集成电路物理与失效分析研讨会 (IPFA 2017),并发布三款用于半导体失效分析工作流程的全新产品,旨在帮助半导体故障分析实验室提升处理样品和获取数据的效率,为寻求快速、高质量的电性和物理失效分析的半导体制造商提供创新解决方案。 /p p   新型 Helios G4 等离子聚焦离子束 (FIB) 系统可对各类半导体器件进行逆向剥层处理,并提供超高分辨率扫描电子显微镜 (SEM) 分析。新型 flexProber 纳米探针量测系统可用于快速电性失效分析的应用。它能对半导体晶片在互连导线和晶体管级别上的故障位置,做出准确的定位。新型 Themis S 透射电子显微镜 (TEM)用在最具挑战性的半导体器件上,可提供原子级分辨率的成像和高产率的元素分析。 /p p   “作为科学服务领域的世界领导者,赛默飞始终立于世界科学发展的前沿,以强大的技术创新领导力,为全球用户提供先进科学服务产品。”赛默飞中国区总裁江志成(Gianluca Pettiti)先生表示:“目前中国的半导体市场充满机遇与挑战,提升产品性能与效率是产业的发展重点。赛默飞始终聚焦中国的科研需求、与本地客户密切协作,致力于帮助客户提高实验室效率,践行我们的本地化承诺。” /p p   “半导体市场不断地快速发展,内存、代工、物联网 (IoT)、先进封装和显示屏市场领域都呈现出强劲的增长”,赛默飞材料与结构分析部亚洲区副总裁荆亦仁阐述道:“这一发展带动了人们对快速、高质量电性和物理失效分析需求的提升。这些新的产品将为我们现有的失效分析解决方案增添新的功能,并提高了机动性”。 /p p   Helios G4 等离子聚焦离子束系统是赛默飞最新一代的双束显微镜。它具有从快速剥层、扫描电子显微镜截面成像到透射电子显微镜样品制备在内的多种功能。半导体剥层技术在 14 nm 以下技术节点器件上的缺陷定位应用变得越来越重要。等离子聚焦离子束搭配Dx 化学气体可用于均匀展露金属层,使赛默飞的纳米探针测量系统能够进行电性故障的定位与分析。 /p p style=" text-align: center " img title=" 赛默飞新型 Helios G4 等离子聚焦离子束 (FIB) 系统.png" src=" http://img1.17img.cn/17img/images/201707/insimg/309a0d7f-1c24-47fd-b0bc-832df82b37cf.jpg" / /p p style=" text-align: center "   赛默飞新型 Helios G4 等离子聚焦离子束 (FIB) 系统 /p p   Helios G4 等离子聚焦离子束系统可支持 7 nm 技术节点以下器件的逆向剥层处理并提供自动终点检测,以在指定的金属层或通过层显露时自动停止蚀刻。它提供比传统 (Ga+) 聚焦离子束系统快 10 到 20 倍的蚀刻速率,使客户能够为纳米探针测量系统、透射电子显微镜以及扫描电子显微镜制备更大面积的样品,并可广泛地应用于先进 (2.5D) 封装、发光二极管 (LED)、显示屏以及微电子机械系统 (MEMS) 。 /p p   新型 flexProber 系统旨在帮助客户对电性失效做出快速定位,并利用低电压扫描电子显微镜来引导精密机械探针到故障电路元件上。准确定位有助于提高后续分析的效率和成本的效益,确保由此定位而制取的透射电镜样品包含了故障区域。专为探针设计的flexProber 系统的扫描电镜,与其前代产品 nProber II 相比分辨率提升了 2 倍。它融入了赛默飞高端纳米探针量测系统的许多功能,适用于广泛的半导体器件类型和不同的制程技术。它提供了入门级配置,同时保留了未来升级到完整纳米探针测量系统的可能性。 /p p style=" text-align: center " img title=" 赛默飞新型 flexProber 纳米探针量测系统.png" src=" http://img1.17img.cn/17img/images/201707/insimg/136972db-c7e7-4224-bdab-7cc10bba0ef1.jpg" / /p p style=" text-align: center "   赛默飞新型 flexProber 纳米探针量测系统 /p p   Themis S 系统是赛默飞行业标准 Themis 系列透射电镜的最新成员。以为20 nm 技术节点以下的半导体器件失效分析为目的,Themis S 系统旨在提供大规模的半导体图像和分析数据,同时Themis S还包括了集成的隔振护罩和完整的远程操作功能。球差矫正器、80-200kV 镜筒、自动对中、XFEG 电子枪和 DualX X 射线能谱仪提供了强大的亚埃级成像能力和快速、准确的元素和应力分析功能。 /p p style=" text-align: center " img title=" 赛默飞新型 Themis S 透射电子显微镜 (TEM).png" src=" http://img1.17img.cn/17img/images/201707/insimg/f73b2fc6-0338-45ed-b133-2065a9429bc7.jpg" / /p p style=" text-align: center "   赛默飞新型 Themis S 透射电子显微镜 (TEM) /p p   “我们客户的半导体器件多种多样,从最先进的 7 到20 nm节点的内存和逻辑器件,到在智能手机和物联网等产品中仍占据重要地位的成熟技术的器件”,荆亦仁表示:“我们的失效分析工具系列可满足不同半导体客户的各种需求。我们期待在中国 IPFA 会议上,与我们的客户面对面探讨我们将如何满足半导体领域不断增长的需求。” /p p    strong 关于赛默飞世尔科技 /strong /p p   赛默飞世尔科技(纽约证交所代码:TMO)是科学服务领域的世界领导者。公司年销售额180亿美元,在50个国家拥有约55,000名员工。我们的使命是帮助客户使世界更健康、更清洁、更安全。我们的产品和服务帮助客户加速生命科学领域的研究、解决在分析领域所遇到的复杂问题与挑战,促进医疗诊断发展、提高实验室生产力。赛默飞的重要应用领域包括食品安全、生物制药、环境及医疗保健等垂直市场。借助于首要品牌Thermo Scientific、Applied Biosystems、Invitrogen、Fisher Scientific和Unity Lab Services,我们将创新技术、便捷采购方案和实验室运营管理的整体解决方案相结合,为客户、股东和员工创造价值。 /p p    strong 赛默飞世尔科技中国 /strong /p p   赛默飞世尔科技进入中国发展已超过35年,在中国的总部设于上海,并在北京、广州、香港、成都、沈阳、西安、南京、武汉、昆明等地设立了分公司,员工人数约4000名。我们的产品主要包括分析仪器、实验室设备、试剂、耗材和软件等,提供实验室综合解决方案,为各行各业的客户服务。为了满足中国市场的需求,现有7家工厂分别在上海、北京和苏州运营。我们在全国共设立了5个应用开发中心,将世界级的前沿技术和产品带给国内客户,并提供应用开发与培训等多项服务 位于上海的中国创新中心结合国内市场的需求和国外先进技术,研发适合中国的技术和产品 我们拥有遍布全国的维修服务网点和特别成立的中国技术培训团队,在全国有超过2000名专业人员直接为客户提供服务。我们致力于帮助客户使世界更健康、更清洁、更安全。 /p p    strong 媒体垂询: /strong /p p strong   赛默飞世尔科技 /strong /p p   高赫 /p p   公共关系经理 /p p   电子邮件:sura.gao@thermofisher.com /p p   电话:(86-21) 6865 4588-2695 /p p    strong 公关公司 /strong /p p strong   爱德曼国际公关 /strong /p p   秦雯 /p p   电子邮件:Cherry.Qin@edelman.com /p p   电话: (86-21) 6193 7411 /p p & nbsp /p
  • 赛默飞推出电镜、探针等新品 增强亚洲半导体领域业务
    p   上海2018年3月14日电, SEMICON China 2018 -- 科学服务领域的世界领导者赛默飞世尔科技(以下简称:赛默飞)宣布推出新产品,增强半导体制造的质量控制和产量。这些新产品将于2018年3月14日至16日在SEMICON China (N5馆5619号展位)展出。 /p p   赛默飞半导体副总裁兼总经理Rob Krueger表示:“赛默飞深耕用于控制生产工艺和诊断半导体和显示器制造过程和产品故障根本原因的先进分析技术。 strong 本周,我们将推出新产品,帮助推动亚洲,特别是中国的半导体制造业快速创新和持续拓展 /strong 。” /p p   strong  Verios G4极高分辨率扫描电子显微镜 /strong /p p   Thermo Scientific Verios G4极高分辨率(XHR)扫描电子显微镜(SEM)提供确定根本原因缺陷、产量损失以及过程和产品故障所需的能力和灵活性。 /p p   Krueger表示:“Verios G4是源于我们大获成功的Helios DualBeam系列 (聚焦离子束/扫描电子显微镜)仪器的扫描电子显微镜解决方案。它提供各种环境下行业领先的性能,尤其是用于先进工艺的光束敏感材料所需的低电压环境。” /p p    strong Hyperion II快速高效的纳米探针 /strong /p p   纳米探测器直接对单个晶体管进行电测量。新的Thermo Scientific Hyperion II是基于原子力显微镜的唯一商用纳米探针,无需真空要求和基于扫描电子显微镜纳米探测器的电子束/样品相互作用。Hyperion II的自动操作和成像模式专为提高速度和易用性而设计。此外,其精确定位电气故障的能力可以提高DualBeam或者TEM后续分析的速度和效率。 /p p    strong iCAP TQs电感耦合等离子体质谱仪推动快速可靠的化学监测 /strong /p p   Thermo Scientific iCAP TQs电感耦合等离子体质谱仪(ICP-MS)是信誉卓著的iCAP TQ ICP-MS的专用半导体版本。它提供了超高纯度化学品中快速、可靠和可重复的低水平污染物测量,以支持先进半导体生产过程的自动化在线监测和统计过程控制。iCAP TQs ICP-MS 在一个高性能解决方案中提供了新的超低检测水平和简单性。有了这个新系统,如今将化学分析从实验室移到工厂成为可能,并支持对化学浴进行在线控制,从而优化响应时间。 /p p    strong 赛默飞世尔科技简介 /strong /p p   赛默飞世尔科技是科学服务领域的世界领导者,根据赛默飞发布的2017年财报显示,公司2017年全年营收达到了209. 2亿美元。在全球拥有超过70,000名员工。其使命是携手客户,让世界更健康、更清洁、更安全。公司帮助客户加速生命科学领域的研究、解决在分析领域遇到的复杂挑战,促进医疗诊断发展、提高实验室生产力。借助于其主要品牌Thermo Scientific、Applied Biosystems、Invitrogen、Fisher Scientific和Unity Lab Services,赛默飞提供结合创新技术、便捷采购和全方位支持的无与伦比的解决方案。 /p
  • 半导体领域仪器设备销售如何玩转市场营销
    2023年全球半导体量/检测设备市场规模达到128.3亿美元,同比增长1.6%,2019-2023年CAGR为19.1%;中国半导体量/检测设备市场规模由2019年的16.9亿美元增长至2022年的40.2亿美元,中国大陆在全球市场占比也由26.6%增长至31.8%;预计2024年及2025年中国半导体量/检测设备市场增速分别为10%和12%,较低于全球市场增速。(数据来源共研产业咨询)2019-2026年全球及中国半导体量/检测设备市场规模预测全球半导体行业呈现典型周期性,技术驱动10年长周期,资本开支驱动3-4年短周期。2023年,全球半导体行业资本开支同比下降14%至156亿美元,预计2024年全球半导体行业资本开支将迎来反弹,拉动全球半导体设备投资增加,半导体量/检测设备需求量有望持续提升。作为国产半导体领域仪器设备商,如何高效的利用现有营销资源渠道创造更大的收益?在半导体检测设备市场,厂商的营销人员经常面临着一系列挑战:1. 随着市场的成熟,产品同质化现象可能逐渐显现,企业需要通过向客户宣传差异化的产品特性和增值服务来获得市场优势。2. 市场推广手段有限:传统的推广手段可能难以触及专业领域的潜在客户,企业需要探索新的营销手段,如线上研讨会、技术论坛等。3. 市场教育与技术传播:由于半导体检测设备技术复杂,企业需要投入资源进行市场教育,帮助潜在客户理解产品的价值和应用场景。4.数字化营销的应用:随着数字化营销的兴起,如何利用大数据、人工智能等工具进行市场分析和客户关系管理成为新的挑战。然而,正是在这些痛点中,仪器信息网看到了服务的机会。 仪器信息网,作为专为科学仪器最专业B2B门户网站,提供一站式解决方案。我们通过深度的市场洞察和精准的用户数据分析,帮助厂商把握市场脉动,制定有效的营销策略。利用我们的平台,厂商可以轻松发布技术文章、产品评测和应用案例,以深入浅出的方式向广大用户群体传达其设备的技术优势和应用价值。同时,我们的在线研讨会和互动教程功能,大大降低了市场教育的成本,扩大了教育的覆盖范围。来看看仪器信息网的相关服务资源是如何助力半导体设备企业玩转市场营销的:1. 垂直用户多:在当今数字化时代,我们致力于构建一个全方位的科学仪器选购平台,以满足广大垂直用户群体的多元化需求。通过PC端、移动网站(WAP)、移动应用程序(APP)以及便捷的小程序,我们实现了多端用户触达,确保用户无论身处何地,都能轻松访问我们的服务。 我们的平台特色在于五维度导购系统,它精心设计以适应不同用户的需求,无论是寻找特定厂商、关注新品首发、挑选仪器优选、探索行业应用还是通过搜索功能快速定位产品,都能提供精准的解决方案。这使得我们的网络平台成为用户选购仪器的首选。 特别值得一提的是,我们每年能够覆盖超过100万的半导体领域用户,这一数字的背后是我们对行业覆盖广度的不懈追求。我们的服务对象包括但不限于科研院所、大专院校、工业企业、政府事业单位以及商业检测机构,我们致力于服务每一个对科学仪器有需求的角落。 通过这样的服务模式,我们不仅为用户提供了一个方便快捷的选购渠道,更为科学仪器行业搭建了一个高效、透明的交流合作平台。我们期待与每一位用户和合作伙伴的相遇,共同推动科学仪器行业的发展与创新。(用户画像分析后台案例)仪器信息网半导体领域覆盖用户单位/学校名称(部分)单位名称学校名称中国科学院微电子研究所四川大学中国航空工业第一集团公司北京航空材料研究院上海东华大学上海太阳能工程技术研究中心上海交通大学中国科学院上海微系统与信息技术研究所上海大学中国科学院上海技术物理研究所上海师范大学上海玻璃钢研究院 上海应用技术大学中国科学院上海硅酸盐研究所上海理工大学中国电子科技集团公司第五十研究所上海电子信息职业技术学院中国航天科技集团公司第八研究院第八O四研究所东北大学东北微电子研究所东北石油大学中国兵器工业第206研究所东华理工大学中国工程物理研究院东南大学中国测试技术研究院中南大学中国电子技术标准化研究院中国农业大学中国科学院上海光学精密机械研究所中国地质大学中国科学院半导体研究所中国民航大学中国科学院合肥智能机械研究所中国石油大学中国科学院地球化学研究所中国矿业大学中国科学院过程工程研究所中国科学技术大学中国计量科学研究院中国科学院大学中科院物理所超导实验室中国药科大学中国科学院长春光学精密机械与物理研究所中国计量大学中国科学院高能物理研究所中山大学佛山南海桂城质量技术监督局兰州大学大连光明研究所北京交通大学公安部第一研究所北京大学北京大学宽禁带半导体研究中心北京工业大学北京工业大学激光工程研究院北京科技大学北京市理化分析测试中心北京理工大学北京航空材料研究院华东师范大学武汉光电国家研究中心华东理工大学南京先进激光技术研究院华中农业大学大连化学物理研究所华中师范大学宁波工业技术研究院华南理工大学峨嵋半导体材料研究所南京大学工业和信息化部电子第五研究所南京师范大学广州市光机电技术研究院南京理工大学广东省科学院电子电器研究所南开大学工业和信息化部电子第五研究所南昌大学昆明理工大学光电子研究所合肥工业大学江苏省特种设备安全监督检验研究院吉林大学青岛半导体研究所哈尔滨工业大学深圳航天科技创新研究院四川大学河北半导体研究所复旦大学浙江大学材料系半导体所大连化学物理研究所上海集成电路设计与测试中心大连大学江苏第三代半导体研究院大连理工大学上海光学精密机械研究所天津大学中国科学院光电技术研究所安徽大学中国电气装备集团科学技术研究院有限公司山东大学华东光电集成器件研究所山东理工大学上海市计量测试技术研究院常州工学院中国科学院苏州纳米技术与纳米仿生研究所广西大学国家纳米科学中心新疆大学上海集成电路材料研究院昆山科技大学中国科学院国家空间科学中心武汉大学北京软件产品质量检测检验中心武汉理工大学中国科学院宁波材料技术与工程研究所武汉科技大学中国科学院深圳先进技术研究院汕头大学中国科学院福建物质结构研究所江南大学中国科学院空天信息创新研究院江苏大学2. 真实询盘多:在这个信息爆炸的时代,我们深知真实有效的询盘对于科学仪器采购的重要性。因此,我们建立了一个专业的导购平台,不仅提供仪器的详细参数对比,还汇集了用户评论和典型应用案例,全面满足用户在采购决策过程中的实际需求。 我们的平台以严格的人工审核机制确保每一个商机的真实性和明确性,致力于为用户提供一个可靠、高效的询盘环境。在我们的平台上,每23秒就会诞生一条有效的用户咨询,这是对我们服务质量的最好证明。 每年,我们的平台能够产生近40万条询单,涉及的采购金额高达650亿。这一数字不仅展示了我们平台的强大吸引力和行业影响力,更是我们对用户需求深刻理解和不懈追求的结果。(仪信通商机库案例)3. 数据驱动营销:在这个以数据驱动营销的新时代,我们致力于提供直观、高效的监控与评估工具,让效果评估变得前所未有的简单。通过我们的400电话监控工具,每一次客户互动都得到详细记录,确保服务质量的持续提升。结合先进的数据监控工具,用户能够实时追踪营销活动的表现,洞察流量和用户互动的每一个细节。而仪信通服务的便捷性,使用户无论身在何处,都能通过移动设备即刻掌握营销效果,确保不错过任何优化机会。我们相信,这些工具的综合应用将帮助用户精准调整营销策略,实现更高的营销效率和更佳的投资回报。(效果月报、询盘漏斗转化后台分析案例)4. 半导体内容宣传花样繁多:在半导体领域中通过精心策划的资讯、话题和专题内容,我们的仪器信息网站已成为半导体检测领域知识的宝库,拥有超过2000篇深度文章,12个热点专题,累计曝光量超过千万,覆盖了超过百万的专业人士。 (以上仅展示部分案例,点击图片跳转内容浏览) 我们不仅在线上提供丰富的知识内容,还积极组织线下活动,每年平均举办10余场专业会议,为仪器设备厂商与精准目标客户搭建起沟通的桥梁。在这些会议中,厂商不仅能获取宝贵的采购咨询,还能通过分享报告和解决方案,展现自家产品的独特优势,从而在激烈的市场竞争中脱颖而出。 此外,我们还紧跟时代潮流,通过互动直播的方式,结合行业热点、技术前沿和政策标准,策划主题视频直播。通过视频号、抖音等流行平台,我们不仅吸引了站内行业用户的广泛关注,还扩大了站外目标用户群体,形成了良性的增长模式,有效提升了成单转化效率。 我们坚信,通过这一系列精心设计的内容营销策略,我们能够持续为行业带来价值,为用户带来知识,为厂商带来商机,共同推动半导体设备行业的发展与繁荣。写在最后:在半导体的世界里,精密与创新是永恒的主题。我们深感荣幸,能够与一群行业领先的仪器厂商携手合作,他们不仅是技术的先锋,更是我们成功路上不可或缺的伙伴。我们也期待与更多杰出的仪器厂商一道,迎接更多的挑战,创造更多的价值,为我们的用户带来更加卓越的产品和服务。让我们携手并进,共创半导体领域的辉煌未来。加入仪信通您将获得以下优势:1. 海量商机,精准触达。2. 智能工具,高效转化。3. 权威背书,品牌提升。进入链接了解服务详情:https://www.instrument.com.cn/list/yxt.html如需咨询仪信通服务,可扫码联系:仪信通运营部杨经理
  • 半导体设备行业逐渐复苏 多家上市公司在手订单充足
    半导体设备国产化率持续提升下,半导体设备行业复苏态势逐渐显现。Wind数据显示,2024年一季度,半导体设备板块上市公司合计实现营业收入130.03亿元,同比增长37.11%;实现归属于上市公司股东的净利润19.91亿元,同比增长26.35%,高于半导体行业整体水平。  5月15日下午,在2023年度科创板半导体设备专场集体业绩说明会上,多家上市公司表示,自去年四季度开始,行业逐渐出现复苏迹象,市场需求转暖,在手订单充足。  多家公司订单充足  本次参加业绩说明会的12家半导体设备公司,覆盖了清洗、薄膜沉积、测试等关键环节。  微导纳米是一家面向全球的半导体、泛半导体高端微纳装备制造商,公司专注于先进微米级、纳米级薄膜设备的研发、生产与销售。2024年一季度,公司实现营业收入1.71亿元,同比增长125.27%;实现归属于上市公司股东的净利润357.34万元,同比扭亏为盈。  截至2024年3月31日,公司在手订单81.91亿元(含Demo订单),其中光伏在手订单70.26亿元,半导体在手订单11.15亿元,产业化中心新兴应用领域在手订单0.5亿元。  微导纳米董事会秘书龙文向《证券日报》记者表示,目前公司订单较为充沛,为经营业绩提供了一定的保障。  华峰测控专注于半导体自动化测试系统领域,2024年一季度,公司实现营业收入1.37亿元,同比减少31.61%;实现归属于上市公司股东的净利润2343.83万元,同比减少68.62%。  华峰测控董事长、董事会秘书孙镪向《证券日报》记者表示,半导体市场在经历一段时期的去库存后,自去年四季度开始,逐渐出现复苏迹象,市场需求逐渐转暖。得益于公司丰富的产品布局和覆盖多领域的客户群体,截至目前,公司订单量明显回升,大客户批量订单明显增加。  晶升股份董事长、总经理李辉也向《证券日报》记者表示,公司目前在手订单充足。预计未来订单增长将有很大一部分来源于公司的8英寸碳化硅长晶设备和新产品。  黑崎资本首席投资执行官陈兴文在接受《证券日报》记者采访时表示:“半导体设备行业2023年及2024年一季度的业绩表现彰显了强劲复苏和持续增长趋势。国内晶圆厂扩产和国产设备份额提升是景气度上升的关键因素。”  合同负债及存货数额通常可以表明公司在手订单和新签订单是否充足。开源证券研报数据显示,2024年一季度,半导体设备板块合同负债总额达183.4亿元,同比和环比分别增长8.89%和11.73%。  止于至善投资总经理何理向《证券日报》记者表示:“半导体设备公司具有较高的合同负债,表明公司已经获得了大量订单,且客户已经提前支付了一部分款项,这些预收款项将在随后的财务周期中逐步转化为公司的收入。”  有望延续高景气度  何理表示,2024年一季度,半导体设备板块出现了订单高速增长的情况。随着国内晶圆厂扩产、国产设备渗透率提升,半导体设备板块有望在2024年延续高景气度。  根据SEMI(国际半导体协会)预测,2024年,全球半导体行业计划开始运营42个新的晶圆厂;全球半导体每月晶圆(WPM)产能将增长6.4%,首次突破每月3000万片大关(以200mm当量计算)。SEMI预计,中国芯片制造商将在2024年开始运营18个项目,产能同比增加13%,达到每月860万片晶圆。  在业绩说明会上,多家上市公司也表示,正加速扩展海外市场。  德科立董事长桂桑在接受《证券日报》记者提问时表示:“公司目前有效的在手订单超3亿元,在手订单保持稳定。公司将在现有主要客户中扩大成熟产品份额,加快导入新品。以高端低耗能的800G光模块、DCI等优势产品为突破点,进一步开发数据中心新客户,扩大海外市场份额。公司还将加快泰国生产基地建设,进一步扩大100G、400G和400G以上高速率光模块、高速率光器件的生产规模,新建泰国光放大器生产能力,强化DCI、COMBO PON产线能力建设,全面满足全球市场需求。”  耐科装备董事长黄明玖在回复《证券日报》记者提问时表示:“目前公司在手订单充足,且在不断增长。从目前了解到的情况看,半导体封装装备市场在复苏,订单情况将持续向好。公司挤出成型装备订单主要来自海外,增长持续稳健。”
  • SEMICON China 2021 中国国际半导体展第一天汇总Day 1
    SEMICON China 2021T3展馆 3229展位Park邀您参馆!2021年3月17日,一年一度的半导体行业盛会SEMICON China 2021在上海新国际博览中心顺利进行了开幕仪式。全球首家推出商业原子力显微镜产品的上市公司韩国Park原子力显微镜携全面的半导体行业解决方案,以及最新的专为超大纳米平板显示器测量儿设计的自动化原子力显微镜Park NX-TSH新品精彩亮相。Park还在N2馆展览Park NX20 demo机器,现场来往的专业观众不少被这台具有超高分辨率超强性能优势的产品吸引驻足,与Park的专业技术工程师交流了解。展会精彩瞬间Park在展位现场还为大家准备了盲盒抽奖活动,大家可通过小程序抽奖,奖品请在T3展馆3229展位现场领取即可1百分百中奖Park原子力显微镜全体员工期待您的光临!T3 3229。。。END。。。01062544360Park北京分公司Park上海labPark广州lab
  • 阿为特:2024年上半年净利润1216万元,半导体业务引领成长
    近日,阿为特(873693)披露2024年半年报。2024年上半年,公司实现营业总收入1.15亿元,同比增长14.71%;归母净利润1216.29万元,同比下降12.82%;扣非净利润1156.00万元,同比增长1.00%;经营活动产生的现金流量净额为1513.26万元,同比下降39.25%阿为特是一家专注于科学仪器、医疗器械、交通运输等行业的精密机械零部件制造商,提供新品开发、小批量试制、大批量生产制造的一站式服务的高新技术企业及国家级专精特新“小巨人”企业。报告期内,公司营收稳中有升。公司实现营业收入 11,535.57 万元,同比增长 14.71%;其中国际市场销售收入 5,533.91 万元,同比增长 21.63% ;国内市场销售收入 6,001.67 万元,同比增长 8.99%。报告期内,阿为特继续秉承“正直诚信、质量第一、变革创新、协作共赢”的核心价值及创新驱动的发展战略,不断加大研发投入和精益化生产投入,深化在科学仪器、医疗器械、交通运输等行业的精密机械零部件制造领域的专业能力,推动技术进步,努力提升产品竞争力。在科学仪器领域,随着公司与客户赛默飞世尔的粘性不断增强,以及公司去年在新产品开发方面打下的扎实基础,公司在报告期内与该客户之间交易的新产品销售额与上年同期相比增长达到 122.42%。此外,随着公司在北交所的成功上市,公司的市场关注度有一定的提高,多家国内科学仪器领域厂商开始主动与我司联系。公司与该类潜在客户保持积极沟通的同时努力提升产品竞争力,力争扩大国内科学仪器领域市场。在医疗器械领域,在 2023 年存量客户新产品开发的种类增加 100 多种的基础上,2024 上半年实现的医疗器械类营业收入为 3,535.55 万元,相比 2023 上半年的 3,143.21 万元增长了 12.48%。在交通零部件领域的航空业务方面,去年成功开发的数百种新产品陆续在今年上半年实现转产,今年上半年航空业务营业收入较 2023 年上半年同比增长 116.77%。面对竞争激烈且多变的市场环境,公司在确保在手订单及时交付的同时,在产品结构上向大件、异形件等差异化产品扩展,不断优化公司的产品结构。在汽车零部件业务方面,报告期内,商用车的关键阀块出口增长明显。在工业设备领域,公司始终将半导体领域作为未来的战略发展方向。公司已建成了千级洁净室及清洗线,具备了高洁净度清洗、真空封装等生产半导体领域相关产品的能力。在人员配置方面,半导体项目团队人员配备了经验丰富的研发技术人员及高技能精密加工及产品调试人员。随着公司在半导体类产品领域的投入持续加大,对客户华海清科在报告期内的新产品开发达 50 余种。同时,随着公司在半导体领域技术的不断迭代以及公司与客户华海清科之间合作的深入,积极参与到重要机型的新项目研发,一百多款零件在上半年也逐步量产。公司在半导体领域的产品结构也同步得到优化,结构复杂、超高精密度的核心零件不断增加。尤其是关键物料零件的生产,为半导体业务的持续快速增长和精密零件生产的技术提高打下了坚实基础。公司的生产运营始终追求以客户为中心、以价值链优化为基础、以信息技术为支撑、以降本增效为目标的持续推进精益化生产建设。公司始终坚持“变革创新”的核心价值观,高度重视技术研发工作,秉承创新驱动发展的理念,持续加大研发投入,专注以技术创新推动科技成果转化。结合市场需求以及公司的可持续发展需要,报告期内,公司持续加大研发投入,研发费用投入 940.30 万元,占公司营业收入的 8.15%,以持续增强公司产品在行业中的竞争力,保持技术领先。公司坚持精细化管理的提升,持续推进数字化改革,致力于打造数字化工厂,增强企业的核心竞争力与可持续发展能力。
  • 315 | 赛默飞为半导体行业提供货真价实的完整解决方案
    这两天,一条题为“特朗普以国家安全为由终止半导体巨头博通收购高通” 的新闻,在半导体行业圈引起了热议,因为这笔交易如果达成,将是半导体行业迄今最大的一笔收购。 为什么此行业会让美国总统特朗普都如此关注呢?就让小编给您简单分析一下,并带来我们为您准备的一大波干货吧。通俗地说,我们日常生活中的电视机、电脑及时刻不离手的手机中的核心单元都和半导体有极为密切的关联。 在《国家集成电路产业发展推进纲要》和国家集成电路产业投资资金的推动下,中国半导体市场已成为全球增长引擎。半导体之于集成电路,如同土地之于城市。世界各国都把集成电路产业作为战略性产业来对待,竞相投入大量的人力、物力和资金。所以,很多观点认为,如果特朗普不制止这项收购计划,博通收购高通后将一家独大,这将严重影响美国在该领域的技术进步速度,可能导致美国今后在移动通讯领域的国际竞争中处于下风。但是,对国家经济发展非常重要的半导体行业却面临一些技术难题,如单晶硅锭和晶圆的品质、清洗剂和刻蚀剂中的离子态杂质、金属杂质、有机物杂质等,会使生产良率受到影响,从而导致经济效益下降。 赛默飞可为半导体及相关行业的关键环节提供技术支撑及完整解决方案,如痕量金属元素及无机元素杂质检测方案、痕量离子态杂质检测方案、RoHS解决方案、环境安全解决方案,全方位满足分析需求。 在正举办的中国国际半导体设备和材料展( SENICON CHINA)上,赛默飞推出了新品Thermo Scientifi-c™ iCAP™ TQs ICP MS。 电感耦合等离子体质谱仪(ICP-MS)可以轻松实现高纯试剂(清洗剂和刻蚀剂)中痕量元素杂质实验室和在线分析、单晶硅锭和晶圆中痕量元素分析、wafer 表面痕量元素分析 及RoHS 指令中铅(Pb)、镉(Cd)、汞(Hg)、六价铬(Cr ( VI ))等重金属元素的测定。 而iCAP TQs ICP MS是信誉卓著的iCAP TQ ICP-MS的专用半导体版本。它提供了超高纯度化学品中快速、可靠和可重复的低水平污染物测量,以支持先进半导体生产过程的自动化在线监测和统计过程控制。在一个高性能解决方案中提供了新的超低检测水平和简单性。有了这个新系统,如今将化学分析从实验室移到工厂成为可能,并支持对化学浴进行在线控制,从而优化响应时间。 提问iCAP TQs ICP-MS功能如此强大,能解决哪些技术难题呢?01痕量金属及无机元素杂质检测方案 (1)VPD 溶液的分析气相分解- 电感耦合等离子体质谱联用(VPD-ICP-MS)法,具有业界所需的检测限和稳定性,测试结果快速可靠,广泛应用于硅晶片的测试,硅晶片的纯度一般要求在99.9999999%以上。纯度要求如此之高,这就到了ICP-MS 大显身手的时候了!VPD 样品中含有高含量的酸和硅基体,并且目标检测元素的含量通常也非常低,由于基体溶液会产生大量的多原子离子干扰(如表一所示),所以说VPD 溶液的测试是极具挑战性的。为了得到更加精确的结果,干扰的去除是非常必要的,比如使用串接式等ICP-MS,高分辨ICP-MS 和使用碰撞反应池等技术。 (2)半导体级异丙醇的分析异丙醇(IPA)用清洗硅片的溶剂时,会与硅片表面直接接触,因此,必须控制其痕量金属杂质浓度。采用灵敏高的ICP-MS 技术直接分析IPA 可为IPA 中超痕量分析物(ng ? L-1)提供有用的控制,并避免由样品制备引起的污染。ICAP TQs ICP-MS 结合了三重四极杆和冷等离子体技术,该高度灵活的方法实现了半导体行业分析所需的超痕量背景等效浓度(BEC)和检测限(LOD)。校正数据图 4 显示了 IPA 中 Li、P、K、Ti、As、Zr 和 Ta 的校正曲线。采用校正标准品在 ngL-1 级水平范围内测得的校正曲线呈现出优异的线性和灵敏度。通过三重四极杆模式和冷等离子体得到改善的干扰去除可实现更具挑战性分析物的低背景噪声。 02 RoHS 解决方案赛默飞为RoHS指令中的有害物质多溴联苯、多溴二苯醚阻燃剂、邻苯二甲酸酯和多环芳烃等有害物质的测定提供加速溶剂萃取(ASE)前处理、气相色谱(GC)、气相色谱- 质谱联用仪(GC-MS)和高效液相色谱仪(HPLC)等检测技术,并提供原子吸收光谱仪(AAS)、电感耦合等离子发射光谱仪(ICP-OES)、电感耦合等离子体- 质谱仪(ICP-MS)和离子色谱仪(IC)用于测定镉、铅和汞,分离并测定六价铬。具体详情可参考《RoHS 指令检测产品及技术——色谱质谱及光谱仪综合解决方案》或与我们联系。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制