当前位置: 仪器信息网 > 行业主题 > >

半导体晶片

仪器信息网半导体晶片专题为您整合半导体晶片相关的最新文章,在半导体晶片专题,您不仅可以免费浏览半导体晶片的资讯, 同时您还可以浏览半导体晶片的相关资料、解决方案,参与社区半导体晶片话题讨论。

半导体晶片相关的资讯

  • 宽禁带联盟对《碳化硅单晶片X射线双晶摇摆曲线半高宽测试方法》等五项团体标准进行研讨及审定
    2022年1月13日,根据中关村天合宽禁带半导体技术创新联盟(以下简称“宽禁带联盟”)团体标准制定工作程序要求,联盟秘书处组织召开了宽禁带联盟2022年度第一次团体标准评审会。本次评审会采取线上评审的形式,分别对《碳化硅单晶片X射线双晶摇摆曲线半高宽测试方法》等五项团体标准进行了研讨及审定。线上评审评审会由宽禁带联盟秘书长刘祎晨主持,厦门大学张峰教授、中国科学院物理研究所王文军研究员、中国科学院半导体研究所金鹏研究员、孙国胜研究员、刘兴昉副研究员、国网智能电网研究院有限公司杨霏教授级高工、中科院电工所张瑾高工、工业和信息化部电子第四研究院闫美存高工、北京聚睿众邦科技有限公司总经理闫方亮博士、北京天科合达半导体股份有限公司副总经理刘春俊研究员、国宏中宇科技发展有限公司副总经理赵子强、北京世纪金光半导体有限公司技术主任何丽娟、北京三平泰克科技有限责任公司郑红军高工等宽禁带联盟标准化委员会委员参加了本次会议。会上,各牵头起草单位代表就标准送审稿或草案的编制情况进行了详细汇报,与会专家针对标准技术内容、专业术语、技术细节、标准格式、标准规范等内容等方面进行了深入的讨论,并提出了很多宝贵意见,最后经联盟标准化委员会与会委员表决,形成如下决议:1. 通过《碳化硅单晶片X射线双晶摇摆曲线半高宽测试方法》(牵头单位:国宏中宇科技发展有限公司)一项送审稿审定;2. 通过《碳化硅外延层载流子浓度测试方法-非接触电容-电压法》、《碳化硅栅氧的界面态测试方法—电容-电压测试法》(牵头单位:芜湖启迪半导体有限公司),《金刚石单晶片X射线双晶摇摆曲线半高宽测试方法》、《金刚石单晶位错密度的测试方法》(牵头单位:中国科学院半导体研究所)四项草案初审。同时标准化专家组建议各标准工作组要根据专家审查意见对各项标准进一步修改完善,尽快形成报批稿或征求意见稿,报送至联盟秘书处。联盟将按照标准制定工作计划进度要求,有条不紊地推动标准工作。宽禁带联盟一直以来都高度重视团体标准工作的发展,有责任和义务不断提升标准化水平,为引领行业技术发展提供重要支撑。同时,联盟也将积极探索推进与国标委的互动,协同推动优秀的团体标准上升为行业标准、国家标准,不断提升国家标准的水平。
  • 《SiC晶片的残余应力检测方法》等六项团体标准实施
    p style=" text-align: justify text-indent: 2em " 2019年12月30日,中关村天合宽禁带半导体技术创新联盟发布《SiC晶片的残余应力检测方法》等六项团体标准的公告。 /p p style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 根据《中关村天合宽禁带半导体技术创新联盟团体标准管理办法》的相关规定,批准发布《 /span span style=" text-indent: 2em text-decoration: underline " a href=" https://www.instrument.com.cn/download/shtml/929877.shtml" target=" _self" style=" color: rgb(0, 176, 240) " span style=" text-decoration: underline text-indent: 2em color: rgb(0, 176, 240) " SiC 晶片的残余应力检测方法 /span /a /span span style=" text-indent: 2em " 》、《 /span span style=" text-indent: 2em text-decoration: underline " a href=" https://www.instrument.com.cn/download/shtml/929876.shtml" target=" _self" style=" color: rgb(0, 176, 240) " span style=" text-decoration: underline text-indent: 2em color: rgb(0, 176, 240) " 功率半导体器件稳态湿热高压偏置试验 /span /a /span span style=" text-indent: 2em " 》、《 /span span style=" text-indent: 2em text-decoration: underline " a href=" https://www.instrument.com.cn/download/shtml/929874.shtml" target=" _self" style=" color: rgb(0, 176, 240) " span style=" text-decoration: underline text-indent: 2em color: rgb(0, 176, 240) " 碳化硅单晶抛光片表面质量和微管密度检测方法-激光散射检测法 /span /a /span span style=" text-indent: 2em " 》、《 /span span style=" text-indent: 2em text-decoration: underline " a href=" https://www.instrument.com.cn/download/shtml/929879.shtml" target=" _self" style=" color: rgb(0, 176, 240) " span style=" text-decoration: underline text-indent: 2em color: rgb(0, 176, 240) " 导电碳化硅单晶片电阻率测量方法—非接触涡流法 /span /a /span span style=" text-indent: 2em " 》、《 /span span style=" text-indent: 2em text-decoration: underline " a href=" https://www.instrument.com.cn/download/shtml/929875.shtml" target=" _self" style=" color: rgb(0, 176, 240) " span style=" text-decoration: underline text-indent: 2em color: rgb(0, 176, 240) " 碳化硅单晶抛光片表面质量和微管密度测试方法——共焦点微分干涉光学法 /span /a /span span style=" text-indent: 2em " 》、《 /span span style=" text-indent: 2em text-decoration: underline " a href=" https://www.instrument.com.cn/download/shtml/929872.shtml" target=" _self" style=" color: rgb(0, 176, 240) " span style=" text-decoration: underline text-indent: 2em color: rgb(0, 176, 240) " 半绝缘碳化硅单晶片电阻率非接触测量方法 /span /a /span span style=" text-indent: 2em " 》六项团体标准。上述六项标准自 2019年12月27日发布,自2019年12月31日起实施。 /span /p p style=" text-align: center text-indent: 0em " span style=" text-indent: 2em " img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202001/uepic/ea557aa9-6015-4978-9a32-2ea73126dd75.jpg" title=" 1.PNG" alt=" 1.PNG" / /span img src=" https://img1.17img.cn/17img/images/202001/uepic/8fb0d7e2-57e9-402b-b22e-ec8bc3d929f0.jpg" title=" 1.PNG" alt=" 1.PNG" width=" 500" height=" 701" border=" 0" vspace=" 0" style=" max-width: 100% max-height: 100% width: 500px height: 701px " / /p p style=" line-height: 16px " 附件: img style=" vertical-align: middle margin-right: 2px " src=" /admincms/ueditor1/dialogs/attachment/fileTypeImages/icon_pdf.gif" / a style=" font-size:12px color:#0066cc " href=" https://img1.17img.cn/17img/files/202001/attachment/8fd0a790-9ffe-4be1-bb90-ecd89694d798.pdf" title=" 关于批准发布SiC晶片的残余应力检测方法等六项团体标准的公告1227.pdf" 关于批准发布SiC晶片的残余应力检测方法等六项团体标准的公告1227.pdf /a /p
  • 浩腾与晶兆合作开发微晶片光谱仪
    浩腾与晶兆科技全面技术合作,共同开发出全世界光学机构最小台的“微晶片光谱仪”。这是浩腾继氢氧焰能源机之后,再度跨入绿能产业。   由于医疗保健费用节节升高,预防保健观念有渐趋积极自我健康管理之势,其中美国消费者已转向基因筛检方式等积极自我健康管理,预估未来将有30%的人口使用基因筛检产品,庞大商机吸引各厂积极投入。   浩腾与晶兆科技昨天正式合作,结合台湾科技大学柯正浩教授核心技术,以“微型晶片光学结构”取代一般光谱仪的“准直面镜-平面光学结构-聚焦面镜”架构,以单一元件与最小体积完成分光和聚焦功能,并达成2奈米之内光谱解析率,其关键核心元件为微型晶片光学结构,以自行开发的光学演算法,高精密的半导体製程及光机电整合能力,简化光学结构、缩小光机体积,并达到与大型光谱仪相同的精密解析度,整合三大产业包含半导体、电机电子、光电之研发能量,生产能力与检测需要,并带动光谱分析元件市场与光电产业之发展,让检测机器价格大幅下滑,且携带方便,可说是革命性产品。   依浩腾的技术,未来每支单价可望下降到1万元,他预估未来每个家庭都会购买一支,商机相当可观。
  • 世界上首次用HVPE法在6英寸晶片上氧化镓成膜成功
    ―为功率器件的低成本化和新一代EV的节能化做出贡献―   在NEDO的“战略性节能技术革新计划”中,Novel Crystal Technology,Inc.(以下略称Novel)与大阳日酸(株)及(大)东京农工大学共同开发了作为新一代半导体材料而备受瞩目的氧化镓(β-Ga2O3)的卤化物气相外延(HVPE)。   该成果使能够制造大口径且多片外延晶片的β-Ga2O3批量生产成膜装置的开发取得了很大进展,有助于实现成膜成本成为课题的β-Ga2O3外延晶片的大口径低成本化。如果β-Ga2O3功率器件广泛普及,则有望实现产机用电机控制的逆变器、住宅用太阳能发电系统的逆变器、新一代EV等的节能化。图1在6英寸测试晶片上成膜的β-Ga2O3薄膜   1 .概述   氧化镓(β-Ga2O3)※1与碳化硅(SiC)※2和氮化镓(GaN)※3相比具有更大的带隙※4,因此基于β-Ga2O3的晶体管和二极管具有高耐压、高输出、高效率的特性,β-Ga2O3功率器件※5的开发,日本处于世界领先地位,2021年本公司成功开发了使用卤化物气相外延(HVPE)法※6的小口径4英寸β-Ga2O3外延晶片※7,并进行了制造销售※8。作为该外延成膜的基础的β-Ga2O3晶片与SiC和GaN不同,Bulk结晶的育成迅速的熔体生长来制造,因此容易得到大口径、低价格的β-Ga2O3晶片,有利于功率器件的低价格化。   但是,β-Ga2O3的成膜所采用的HVPE法能够实现低廉的原料成本和高纯度成膜,另一方面存在基于HVPE法的成膜装置只有小口径(2英寸或4英寸)且单片式的装置被实用化的课题。因此,为了降低成膜成本,通过HVPE法实现大口径(6英寸或8英寸)的批量式批量生产装置是不可缺少的。   在这样的背景下,Novel公司在NEDO (国立研究开发法人新能源产业技术综合开发机构)的“战略性节能技术革新计划※9/面向新一代功率器件的氧化镓用的大口径批量生产型外延成膜装置的研究开发”项目中,制作了β-Ga2O3在本程序的培育研究开发阶段(2019年度)进行了作为HVPE法原料的金属氯化物※10的外部供给技术※11开发,在实用化开发阶段(2020年度~2021年度)为了确立批量生产装置的基础技术,进行了6英寸叶片式HVPE法的外部供给技术※11开发,而且,这是世界上首次成功地在6英寸晶片上成膜了β-Ga2O3。   2 .本次成果   Novel公司、大阳日酸及东京农工大学开发了6英寸叶片式HVPE装置(图2),在世界上首次成功地在6英寸测试晶片(使用蓝宝石基板)上进行了β-Ga2O3成膜(图1)。   另外,通过成膜条件的优化和采用独自的原料喷嘴结构,证实了在6英寸测试晶片上的β-Ga2O3成膜,以及确认了能够实现β-Ga2O3膜厚分布±10%以下等在面内均匀的成膜(图3)。通过本成果确立的大口径基板上的成膜技术和硬件设计技术,可以构筑β-Ga2O3成膜装置的平台,因此大口径批量生产装置的开发可以取得很大进展。这样,通过β-Ga2O3成膜工艺和应用设备带来的功耗降低,预计在2030年将达到21万kL/年左右的节能量。图2用于β-Ga2O3成膜的6英寸单片式HVPE装置的外观照片图3 β-Ga2O3在6英寸测试晶片上膜厚分布   3 .今后的安排   Novel公司、大阳日酸及东京农工大学在NEDO事业中继续开发用于β-Ga2O3成膜批量生产装置,今后使用6英寸β-Ga2O3晶片的外延成膜,通过β-Ga2O3薄膜的电特性评价和膜中存在的缺陷评价,得到高品质的β-Ga2O3薄膜,另外,确立β-Ga2O3外延晶片的量产技术后,目标是2024年度量产装置的产品化。用HVPE法制造的β-Ga2O3外延晶片主要用于SBD※12和FET※13,因此预计2030年度将成长为约590亿日元规模的市场(根据株式会社富士经济“2020年版新一代功率器件&功率电瓷相关设备市场的现状和未来展望”) 今后将实现批量生产装置,通过进入β-Ga2O3成膜装置市场和普及Ga2O3功率器件,为促进新一代EV等的节能化做出贡献。   【注释】   ※1氧化镓(β-Ga2O3)   氧化镓是继碳化硅和氮化镓之后的“第三功率器件用宽带隙半导体”,是受到广泛关注的化合物半导体,是作为功率器件的理论性能压倒性地高于硅,也超过碳化硅和氮化镓的优异材料。   ※2碳化硅(SiC)   SiC是碳和硅的化合物,是主要用于高耐压大电流用途的宽带隙半导体材料。   ※3氮化镓(GaN)   GaN是镓和氮的化合物,具有比SiC更稳定的结合结构,是绝缘破坏强度更高的宽带隙半导体。主要用于开关电源等小型高频用途。   ※4带隙   电子和空穴从价带迁移到导带所需的能量。将该值大的半导体定位为宽带隙半导体,带隙越大,绝缘破坏强度越高。β-Ga2O3的带隙约为4.5 eV,比Si(1.1 eV),4H-SiC(3.3 eV)及GaN(3.4 eV)的值大。   ※5功率器件   用于电力转换的半导体元件,用于逆变器和转换器等电力转换器。   ※6卤化物气相沉积(HVPE)法   指以金属氯化物气体为原料的结晶生长方法。其优点是可以高速生长和高纯度成膜。   ※7β-Ga2O3外延晶片   是指在晶片上形成β-Ga2O3的薄膜形成晶片。在成为晶片的晶体上进行晶体生长,在基底晶片的晶面上对齐原子排列的生长称为外延生长(外延生长)。   ※8成功开发4英寸β-Ga2O3外延晶片,制造销售   (参考) 2021年6月16日新闻发布   " https://www.novel crystal.co.jp/2021/2595/"   ※9战略性节能技术创新计划   摘要:“https://www.nedo.go.jp/activities/zzjp _ 100039.html”   ※10金属氯化物   是作为HVPE法金属原料的化合物,代表性的金属氯化物有GaCl,GaCl3,AlCl,AlCl3,InCl,InCl3等。   ※11外部供应技术   在HVPE法中,将金属氯化物生成部和成膜部独立分离,从反应炉外部使用配管等供给金属氯化物的技术。   ※12SBD   肖特基势垒二极管(SBD:Schottky Barrier Diode)。不是PN结,而是使用某种金属和n型半导体的结的二极管。其优点是与其他二极管相比效率高、开关速度快。   ※13FET   场效应晶体管( FET:Field Effect Transistor )。闸门电极二电压通过添加通道在区域产生电界根据电子或正孔的密度,控制源漏电极之间的电流晶体管是指。
  • 科学家研发出砷化镓晶片批量生产技术
    科学家研发出砷化镓晶片批量生产技术 使这种感光性能更优良的材料有望大规模用于半导体和太阳能产业   新一期英国《自然》杂志报告说,美国研究人员研发出一种可批量生产砷化镓晶片的技术,克服了成本上的瓶颈,从而使砷化镓这种感光性能比硅更优良的材料有望大规模用于半导体和太阳能相关产业。   据介绍,砷化镓是一种感光性能比当前广泛使用的硅更优良的材料,理论上它可将接收到的阳光的40%转化为电能,转化率约是硅的两倍,因此卫星和太空飞船等多采用砷化镓作为太阳能电池板的材料。然而,传统的砷化镓晶片制造技术每次只能生成一层晶片,成本居高不下,限制了砷化镓的广泛应用。   美国伊利诺伊大学等机构研究人员报告说,他们开发出的新技术可以生成由砷化镓和砷化铝交叠的多层晶体,然后利用化学物质使砷化镓层分离出来,可同时生成多层砷化镓晶片,大大降低了成本。这些砷化镓晶片可以像“盖章”那样安装到玻璃或塑料等材料表面,然后可使用已有技术进行蚀刻,根据需要制造半导体电路或太阳能电池板。   不过,该技术目前还只能用于批量生产较小的砷化镓晶片,如边长500微米的太阳能电池单元,这与现在广泛使用的硅晶片相比还是太小。下一步研究将致力于利用新技术批量生产更大的砷化镓晶片。
  • 我国第一片8英寸键合SOI晶片研制成功
    本报讯 记者从中国科学院上海微系统与信息技术研究所获悉,近日,该所研究员王曦领导的SOI研究小组,在上海新傲科技有限公司研发平台上,通过技术创新,制备出我国第一片8英寸键合SOI晶片,实现了SOI晶片制备技术的重要突破。 过去,该研究小组因建立了我国第一条高端硅基集成电路材料SOI晶圆片生产线,实现了4~6英寸SOI材料产业化,解决了我国SOI材料的有无问题,而获得国家科技进步奖一等奖。 该研究小组的人员并没有满足所取得的成绩,面对国内外集成电路技术向大直径晶圆片升级换代的大趋势,又设立了攻关8英寸大直径SOI晶圆片的课题。在开发过程中,研究人员克服了硬件条件不足的困难,突破了清洗、键合、加固、研磨和抛光等一系列关键技术。通过改造现有设备,实现了8英寸硅片的旋转式单片清洗工艺;自主设计开发了大尺寸晶片键合平台,在此基础上实现了8英寸晶片键合,并达到了对键合过程和键合质量的实时监控;通过对现有设备的升级改造,实现了键合晶片的加固;经过大量的研磨工艺实验,反复比较研磨过程粗磨、精磨工艺中砂轮转速等工艺参数对晶片的影响,确定出较优研磨工艺;随后,在现有抛光工艺基础上,优化抛光浆料配比,实现了8英寸SOI晶片的精细抛光。 有关专家认为,8英寸SOI晶圆片的成功开发,标志着王曦领导的研究开发小组已经掌握了大尺寸键合SOI晶片制备的关键技术,为大尺寸键合SOI晶片的产业化打下了坚实基础。 据介绍,在极大规模集成电路国家重大科技专项中,宏力半导体公司和华润微电子等8英寸集成电路制造代工企业安排了8英寸SOI先进电路的研发和产业化项目,急需本土化的8英寸SOI衬底材料配套。上海微系统所和上海新傲公司联合开发的8英寸键合SOI晶圆片正是适应了这些需求,具有广阔的市场前景。
  • 乾晶半导体首批碳化硅衬底正式进行工艺验证
    杭州乾晶半导体有限公司碳化硅衬底晶片通过公司内部品质检验,达到同行业产品质量标准。首批样品于2021年11月3日正式提供客户端进行工艺验证。公司目前已经与中国及日本公司达成战略合作意向,可为国内外客户提供4、6寸碳化硅晶棒及晶片。杭州乾晶半导体有限公司,2020 年 7 月成立于浙江大学杭州国际科创中心,专注于第三代半导体材料领域,是一家集半导体碳化硅(SiC)单晶生长、晶片加工和设备开发为一体的高新技术企业。公司的核心团队来自于浙江大学硅材料国家重点实验室,与浙大科创中心先进半导体研究院成立联合实验室共同承担 SiC材料的产业化任务,力争三到五年成为国际知名的第三代半导体材料品牌和标杆企业,为第三代半导体产业提供有力支撑。
  • 中科院科研装备研制项目“晶片级器件辐照 及辐射效应参数提取设备”顺利验收
    p & nbsp & nbsp & nbsp & nbsp 5月26日,中国科学院条件保障与财务局组织专家对中国科学院新疆理化技术研究所承担的中科院科研装备研制项目“晶片级器件辐照及辐射效应参数提取设备”进行了验收。 /p p   验收专家组现场考核了仪器设备的技术指标,认真听取了项目工作报告,经质询和讨论,专家组一致认为该设备同时实现了晶片级器件辐照试验、器件特性参数在线提取功能,在国内率先突破了晶片级器件加电偏置辐照技术,为器件辐射效应精确建模、商用代工线的抗辐射性能评估提供了有效的测试手段 研制的设备可适用不同种类器件的辐照,具有结构一体化、操作自动化的特点,全部技术指标均达到或优于预期目标。之前国内由于不具备适用于器件辐射效应提参建模的试验平台,无法在器件设计、流片阶段给出加固建议,评估抗辐射性能,一定程度上增加了研发成本,延长了生产周期。该设备突破了这一技术瓶颈,填补了该领域的国内空白,为晶片级器件辐照、提参提供试验条件,形成面向抗辐射器件研制全过程的辐射效应试验评估、提参建模共性技术服务平台,为元器件设计加固工艺的发展提供试验技术支撑。 /p p   该设备已成功应用于中科院微电子研究所、中电集团44所、杭州电子科技大学、长光辰芯光电公司等单位的微纳MOS器件、CCD器件、CMOS图像传感器、半导体射频电路的辐射效应评估验证,获得了用户的高度认可,为国产抗辐射器件的研制与试验评估提供了有效的试验手段。 /p p br/ /p
  • 《碳化硅晶片位错密度检测方法 KOH腐蚀结合图像识别法》等多项标准工作会成功召开
    2021年6月3日下午,《碳化硅晶片位错密度检测方法 KOH腐蚀结合图像识别法》、《碳化硅衬底基平面弯曲的测定 高分辨X射线衍射法》两项标准工作会成功召开。与会人员围绕标准草案的范围、术语与定义、试验方法等内容进行充分讨论,并提出了诸多修改意见。来自广州南砂晶圆半导体技术有限公司、山东大学、深圳第三代半导体研究院、芜湖启迪半导体有限公司、浙江博蓝特半导体科技股份有限公司、国宏中宇科技发展有限公司等单位的多位专家参加了会议。对位错缺陷进行有效的表征与分析对单晶工艺及外延工艺改进优化进而提高器件性能至关重要。位错具有随机分布且密度量级大的特征,随着单晶尺寸的增大,人工统计位错密度的困难增加,过少的统计区域则又无法代表整个晶片的位错密度,《碳化硅晶片位错密度检测方法 KOH腐蚀结合图像识别法》规定了用化学择优腐蚀结合图像识别法检测碳化硅晶片中位错密度,适用于4H及6H-SiC晶片材料中位错检测及其密度统计。对于碳化硅材料只有掌握了基平面弯曲的特性,才能够深入了解基平面弯曲产生的原因,提供单晶生长条件优化的方向,进而提升单晶质量。《碳化硅衬底基平面弯曲的测定 高分辨X射线衍射法》适用于正向及偏向的6H和4H-SiC单晶衬底中基平面弯曲的检测,填补我国以高分辨X射线衍射法表征SiC单晶片的晶面弯曲特性领域的空白。
  • 晶盛机电:拟57亿定增加码碳化硅、半导体设备
    10月25日晚间,晶盛机电发布定增预案,拟向不超过35名(含)特定对象发行募集资金总额不超过57亿元(含本数),在扣除发行费用后拟全部用于以下项目:31.34亿元用于碳化硅衬底晶片生产基地项目,5.64亿元用于12英寸集成电路大硅片设备测试实验线项目,4.32亿元用于年产80台套半导体材料抛光及减薄设备生产制造项目,15.7亿元用于补充流动资金。据悉,本次晶盛机电向特定对象发行股票的发行数量不超过2.57亿股(含本数)。发行价格不低于定价基准日前20个交易日公司A股股票交易均价的80%。受惠于光伏和半导体热潮的影响,今年以来,晶盛机电股价持续走高,在9月初总市值一度触及千亿大关。截止到10月25日收盘,该股报价74.96元,上涨1.99%,总市值为963.66亿。半年报显示,晶盛机电为硅、碳化硅、蓝宝石三大主要半导体材料设备生产商。在硅材料领域,公司开发出了应用于光伏和集成电路领域两大产业的系列关键设备,包括全自动晶体生长设备(直拉单晶生长炉、区熔单晶炉)、晶体加工设备(单晶硅滚磨机、截断机、开方机、金刚线切片机等)、晶片加工设备(晶片研磨机、减薄机、抛光机)、CVD设备(外延设备、LPCVD设备等)、叠瓦组件设备等;在碳化硅领域,公司的产品主要有碳化硅长晶设备及外延设备;在蓝宝石领域,公司可提供满足LED照明衬底材料和窗口材料所需的蓝宝石晶锭、晶棒和晶片。公司产品主要应用于集成电路、太阳能光伏、LED、工业4.0等具有广阔发展前景的新兴产业。从近期公开的生产信息看,公司半导体等领域订单均处于产销两旺的状态,本次定增募资扩大产能也属于有的放矢。
  • Advanced Science | 原位生长钙钛矿晶片实现低剂量直接X射线探测成像
    近日,中国科学院深圳先进技术研究院材料所喻学锋、刘延亮团队与医工所葛永帅团队合作,在权威刊物Advanced Science在线发表研究论文“PbI2-DMSO Assisted In-situ Growth of Perovskite Wafer for Sensitive Direct X-ray Detector”。 该成果聚焦钙钛矿直接型X射线探测器中钙钛矿晶片材料缺陷密度高、载流子传输效率低的科学问题,原创性地开发了一种钙钛矿晶体的原位生长技术,极大提高了钙钛矿晶片的光电性能,实现了高效直接X射线探测及扫描成像。本工作为制备高灵敏、高分辨直接X射线探测器提供了新的技术路线,有望应用于未来高端医疗影像诊断和芯片无损检测等领域。喻学锋研究员、葛永帅研究员和刘延亮副研究员为本文共同通讯作者,刘文俊硕士生和史桐雨博士生为本文的共同第一作者。 论文线上截图论文链接:http://doi.org/10.1002/advs.202204512X射线探测在医学诊疗、安防检查、工业无损检测等领域应用广泛。然而,目前商用的闪烁体间接X射线探测器存在二次光电转化效率低、可见光色散等难以克服的问题,导致探测灵敏度低、辐射剂量高、空间分辨率差,无法满足高端医学影像、芯片检测等领域的需求。相比之下,基于半导体材料的直接X射线探测器可通过一次光电转换,直接将X射线转换成电信号,因此可具有更高的光电转换效率、探测灵敏度和空间分辨率。然而,目前常用的直接X射线探测半导体材料面临对X射线吸收弱(硅、非晶硒)、热稳定性差(非晶硒)、造价高昂(碲化镉、碲锌镉)等问题,极大地限制了其推广应用。因此,发展新型高效半导体光电转换材料是直接X射线成像探测器走向应用的关键。   近年来,金属卤化物钙钛矿半导体凭借优异的本征性能,如重原子X光吸收、载流子迁移率高和寿命长等,在直接X射线探测领域备受关注。钙钛矿材料对X射线的探测灵敏度可达100000 μC Gyair-1cm-2,远优于商用的硅、非晶硒、碲锌镉。通过简单等静压方法制备的钙钛矿晶片尺寸和厚度可控,非常适用于直接X射线检测。然而,钙钛矿晶片常常面临晶体生长不完全、电荷缺陷密度高的问题,严重影响了X射线探测器的效率及工作稳定性。 针对上述问题,结合之前的研究基础,从提升钙钛矿结晶度、降低钙钛矿晶片缺陷密度出发,本研究工作创新性地开发了一种PbI2-DMSO固体添加剂,促进了厚钙钛矿晶片的原位再生长,提高了材料的结晶度、降低缺陷密度、提高载流子迁移率和寿命。并且通过减缓钙钛矿的结晶过程,降低成核密度形成连续的大晶粒钙钛矿晶片,进一步促进器件表面晶界融合、提高电荷传输性能,从而获得高效钙钛矿直接X射线探测器。探测器灵敏度可达1.58×104μC Gyair-1cm-2,最低可探测剂量可达410 nGyair s-1,并且用平面扫描的方式,实现了高清X射线探测成像。这项工作为钙钛矿材料开拓了新的应用方向,同时也为高质量钙钛矿晶片的制备提供了一种有效策略,具有很大科学和应用价值。 该研究工作获得了国家自然科学基金重点项目、国家自然科学基金青年项目、中科院青年创新促进会、深圳市杰青及中科院特别研究助理等项目的资助。 原位生长钙钛矿晶片用于高灵敏直接X射线探测X射线探测扫描成像
  • 《导电型4H碳化硅衬底及外延晶片基平面位错密度的测定 化学腐蚀法》等两项标准提案获通过
    近日,由北京理工大学牵头提案的《电动汽车用碳化硅(SiC)电机控制器评测规范》以及由广州南砂晶圆半导体技术有限公司牵头提案的《导电型4H碳化硅衬底及外延晶片基平面位错密度的测定 化学腐蚀法》两项团体标准提案,经CASA标准化委员会(CASAS)管理委员会投票,根据《CASAS管理和标准制修订细则》,两项联盟团体标准投票通过立项,分配编号分别为:CASA 012、CASA 013。据了解,第三代半导体产业技术创新战略联盟(CASA)是2015年9月9日,在国家科技部、工信部、北京市科委的支持下,由第三代半导体相关的科研机构、大专院校、龙头企业自愿发起筹建的“第三代半导体产业技术创新战略联盟”(以下简称“联盟”)在北京国际会议中心举行了成立大会。 科技部曹健林副部长、高新司赵玉海司长、科技部高技术研究发展中心秦勇主任,北京市科学技术委员会闫傲霜主任,中国科学与科技政策研究会李新男副理事长等领导出席了成立大会。南京大学郑有炓院士代表45家发起机构单位正式宣布第三代半导体产业技术创新战略联盟成立。科技部曹健林副部长、南京大学郑有炓院士、北京市科学技术委员会闫傲霜主任、北京半导体照明科技促进中心吴玲主任共同为联盟揭牌。以下为通知原文:联盟两项团体标准提案获管理委员会投票通过各有关单位:由北京理工大学牵头提案的《电动汽车用碳化硅(SiC)电机控制器评测规范》以及由广州南砂晶圆半导体技术有限公司牵头提案的《导电型4H碳化硅衬底及外延晶片基平面位错密度的测定 化学腐蚀法》两项团体标准提案,经CASA标准化委员会(CASAS)管理委员会投票,根据《CASAS管理和标准制修订细则》,两项联盟团体标准投票通过立项,分配编号分别为:CASA 012、CASA 013。 标准提案投票具体情况为: 1、电动汽车用碳化硅(SiC)电机控制器评测规范:应投25票,实投21票,赞成19票,反对1票,弃权1票。 2、导电型4H碳化硅衬底及外延晶片基平面位错密度的测定 化学腐蚀法:应投25票,实投21票,赞成19票,反对0票,弃权2票。立项通知请查看附件:附件1.关于《导电型4H碳化硅衬底及外延晶片基平面位错密度的测定 化学腐蚀法》联盟团体标准立项的通知附件2.关于《电动汽车用碳化硅(SiC)电机控制器评测规范》联盟团体标准立项的通知
  • 闲聊半导体行业和芯片人的“黑话”(下)
    中篇讲到了Fab里用到的曝光技术,“黑话“不少;其实这次还好,接触式光刻技术Contact photolithography其实属于早期的光刻手段Method,这种方法中图形光罩Mask与晶圆Wafer尺寸一样大,还要紧密地贴在一起;不难想象,这种方式很容易造成wafer表面的损坏,并且mask也好不到哪里去,很难重复利用;为了解决能不用贴在一起就能光刻的问题,就出现了接近式光刻技术Proximity photolithography;也就是把mask的位置提升,脱离芯片表面一定距离保持接近,但避免接触;虽然解决了损伤和重复利用的问题,没变的是此时的mask仍然要与wafer保持一样大的尺寸;在这种早期的接触和接近式光刻技术帮助下,人类有了第一代光源为436nm g-line,特征尺寸节点到0.8um~0.25um、和第二代光源提升为365nm i-line,由于Mask和Wafer还是1:1的比例,所以特征尺寸节点仍然保持在0.8um~0.25um;在接触接近式光刻技术之后,以DUV Deep Ultraviolet为代表的投影式光刻技术Projection photolithography成为主流:这里我们就有了第三代扫描投影式光刻机DUV Scan,光源开始采用DUV深紫外波长248nm KrF激光,曝光方式改为扫描投影式,工艺节点提升为180nm ~ 130nm范围;还有步进投影式光刻DUV step-and-repeat,曝光方式变为步进式,工艺节点进步到了110nm左右;同样,由于光源波长保持一样,步进投影式光刻DUV step-and-repeat和扫描投影式光刻DUV Scan,同属于第三代光刻机;实现这项技术的关键模组是驱动光源在mask上做步进运动Stepper,或是扫描运动Scanner的组件,“黑话”叫它对准器mask aligner,投影式光刻技术的对准器就叫projection mask aligner了;第四代的光刻机,DUV光源进一步缩短波长,采用193nm ArF激光,曝光方式也同时改进为步进扫描,这就是步进扫描式光刻DUV Step-and-Scan,此时工艺节点已经达到65nm级别;随着将曝光镜头浸没在水中,增加了数值孔径NA,进一步提高了分辨率,就是国内目前Fab厂最高制程的第四代浸没扫描式光刻机DUV Immersion Scan了,工艺节点到了22nm。除了ASML,尼康在上世纪末是当之无愧的光刻机巨头,从 80 年代后期至本世纪初,尼康光刻机市场占有率超50%,代表着当时光刻机的最高水平。这点从尼康官网半导体光刻系统历史发展也可以看出,1980年Launch的NSR-1010G,工艺节点在1.0 µm;从1984年开始,几乎每年都会Launch至少1款光刻机;到了1999年,除了推出世界第一台干式ArF扫描光刻机NSR-S302A,节点≦180 nm之外,尼康还推出了节点≦400nm的NSR-SF100、节点≦150nm的 NSR-S204B、节点≦350nm的 NSR-2205i14E2、节点≦110nm的 NSR-S305B四款设备,卖出的光刻系统数量达到 6,000 台;也和佳能一样,在本世纪初,那场干湿路线之争成为了尼康的转折点,一路跌出了高端光刻机市场,直到2018年,尼康断言,“ArF液浸作为尖端曝光装置使用的电路尺寸是主战场”,推出了专为5nm工艺制程量产而开发的NSR-S635E ArF 浸没式扫描光刻机;尼康的这次出击确保了机台出色的聚焦稳定性并最大限度地减少了缺陷以提高产量,以每小时高达 275 个晶圆的超高通量,完成了回归。摩尔定律一路袭来,繁华之下仔细看过,光刻工艺节点Technology node,“黑话”又叫“制程”的提升,其实是和寸土寸金的Fab外的,我们“正常”实验室里的光学显微术Light microscopy进化历程不约而同,殊途同归的,不是吗?为了提升白光光镜的分辨能力,我们尝试了从汞灯,卤素,LED,一直到激光Laser的历程;在高倍100X的物镜和样品盖玻片之间,我们不也用折射率n为1.58的浸没油Immersion Oil来排挤空气,改善光线折射的数值孔径NA,进而提高分辨率吗?我想说的是,半导体行业的光鲜,跟它有多高的技术没有直接关系;让它披上靓丽的光环的,是在同等级别技术下的能够独步“武林”的应用-芯片制造,更是在这些应用背后成为推手的更加辉煌的民用和国防基建进展;疫情下“缺芯潮”持续,各行业对芯片的需求,转化为对芯片人才的需求,形了成一股芯片行业的涨薪潮。芯片行业资深猎头机构“Match Offer”说:“别家都在裁员,芯片企业却在涨薪;芯片行业整体都很缺人,尤其是芯片设计,我们经手的很多芯片设计工程师和验证工程师年薪在60万~120万元,属于团队中坚力量;中坚中的“战斗机”-MCU架构设计师起薪已经飙到200万!薪资普涨背后,除芯片设计公司吸纳更多人才,不少科技企业也开始自研芯片,也推高了芯片人才的需求;深度科技研究院表示:“从设计制造到封测,芯片产业各环节都有人才缺口,其中最缺的是接触过先进设备、从事过先进芯片设计和生产的高端人才。以往半导体公司薪资待遇长期偏低,核心人才容易流失,高校芯片专业偏冷门,多重因素导致人才供应不足。如今电动车、3C产品对芯片的需求扩大,导致芯片产能和人才需求增加”。我们不得不说,半导体行业和芯片人,真乃生人逢时也!说回光刻机,在22nm节点之后,DUV已经没法再优化了,只能重新开发新的13.5nm 的EUV极紫外光源;现阶段的EUV,确实是ASML的垄断状态,实现的工艺节点可以到14nm、7nm、5nm;EUV技术的关键难点在于材料吸收,因为波长太短光子能量很高,基本上大部分材料都会很容易的吸收EUV光源,导致光源到达工作面时光强很弱,所以设计时材料的选取是非常关键,光刻环境也要要求严格的真空环境;EUV作为一种新光源的第五代光刻机的出现,还会影响一整条产业链的格局,因为不同光源对Mask材料,光刻胶材料,光学镜头等都有独特的要求,最新的0.55NA的造价已经标至几十亿美元一台。ASML总部2017年曾说过:“如果我们交不出EUV,摩尔定律就会从此停止。”;ASML日本也说过:“摩尔定律预计未来10年后还会持续下去,以此为中心支撑的是最先进的EUV光刻机”;近期的ASML公众号也在咬着后槽牙讲话:“只要我们还有想法,摩尔定律就会继续生效!”。可是,面对高昂的代价和无限长的货期,理性说:“还是让我们回过头来,重新盘点了下上面我们提到的那些光刻技术吧;是时候要回归Maskless光刻了,更是时候抛弃传统的只有用“光”才能“刻”的技术了!“不用光罩的Maskless电子束曝光系统 electron beam exposure技术EBL,虽然由于通量有限曾被EUV打败,但是电子先天短波长的优势,势必会重回“光刻”舞台;全球六家EBL厂家,欧洲有两家,日本四家;前身是Leica Microsystem芯片分支的Vistec公司,以其“有趣“的历程,惹人关注;国产EBL厂家”Goldenscope”立志追赶,投资1.7亿开发的电子束光刻设备,已经有三台样机在深圳福田,北航,及国防大学处安装完成,并投入使用;第四台即将安装在怀柔科学城;不用“光”的纳米压痕NIL技术,更以相比EUV只有四成的成本和一成的功耗,重回芯片产业视野;这里我们要谈到另一家光刻机巨头佳能:跟尼康一样,佳能在上世纪还是很猛的,1970年发售了日本首台半导体光刻机PPC-1;1975年发售的FPA-141F光刻机,在世界上首次实现了1微米以下的光刻;1984年推出了FPA-1500FA,节点在1.0 μm;1994 年发布第一款FPA-3000 系列,配备了分辨率为 0.35 μm 的i-line 镜头,是当时世界上分辨能力最高的镜头之一;也和尼康一样,本世纪初的那场干湿路线之争也成为了佳能的“滑铁卢”。这里我们要说的是,佳能早在2004 年就开始研发NIL技术,2014年美国分子压印公司(现佳能纳米技术)加入佳能集团的消息公开,明确表示将使用纳米压印法进行开发;2021 年,大日本印刷在根据设备的规格进行了NIL内部模拟,发现在电路形成过程中每个晶片的功耗可以降低到使用EUV曝光时的大约1/10;根据大日本印刷的说法,NIL量产技术制程可达5nm的节点;2017年,佳能NIL纳米压印芯片制造设备“FPA-1200NZ2C”,正式交付给东芝存储器工厂;从目前透露的消息来看,和佳能共同开发的NIL技术的铠侠KIOXIA已掌握NIL 15nm的制程量产技术,目前正在进行15nm以下技术研发,预计2025年进一步达成量产。聊完“D”沉积镀膜,“L”光刻,我们到了“E” Etching蚀刻设备了;在IC集成电路的制程中,常常需要将整个电路图案定义出来,其制造程序是先长出或盖上一层所需要的薄膜Deposition,再利用微显影技术Development在这层薄膜上,以光阻PR定义出所欲制造的电路图案,再利用化学或物理方式将不需要的部份去除Etch;此种去除步骤,便称为蚀刻;蚀刻可分为湿法蚀刻Wet Etching,及干法蚀刻Dry Etching两种:所谓湿蚀刻就是利用化学品,如酸液,与所欲蚀刻的薄膜起化学反应,产生气体或可溶性生成物,达到图案定义的目的;而所谓干蚀刻,则是利用机台产生电浆将所欲蚀刻的薄膜反应,产生气体,由真空泵PUMP抽走,达到图案定义的目的。这里讲话“蚀刻”,或“刻蚀”不定,关键字是“蚀”,就是“挖走”、“去除”之意;这里的“黑话”群包括反应离子刻蚀 reactive ion etching, RIE、各向同性刻蚀 isotropic etching、各向异性刻蚀 anisotropic etching、反应溅射刻蚀 reactive sputter etching、等离子体刻蚀 plasma etching。上面提到,Chip的Etching过程中,常需要用酸碱溶液;这些蚀刻步骤之后,必须利用水把芯片表面残留的酸碱清除,而且水的用量是相当大;然而IC工业用水,并不是一般的自来水,而是自来水或地下水经过一系列的纯化而成;因为自来水或地下水中,含有大量的细菌,金属离子及各类Particle,将之杀菌过滤和纯化后,即可把杂质去除,所得的水即称为"去离子水"Deionized Water,就是DI water,专供IC制造之用。这里想到的是,“正常”实验室里作为电镜冷却液的“水”,不少会用到这个“去离子水”,这是有害无益的;因为铜质冷却管道会“损失”铜离子到DI water中,长此以往会害上“骨质疏松”症,越来越薄,直到泄露;“正常人”知道,电镜散热要求较易满足,冷却液里主要怕长“水藻”,一种类似汽车防冻液,叫“Hexid40”的冷却液就足够了。从Fab和Foundry出来的Chip,就完成了芯片制造的“前道”程序,该进入“后道”的“封测”了;封装“黑话”叫Packaging,这里的“黑话群”有金属封装 metallic packaging、陶瓷封装 ceramic packaging、扁平封装 flat packaging、塑封 plastic package、玻璃封装 glass packaging,都属于微封装 micropackaging,又称“微组装”;更大的印刷线路板PCB封装中,常见Surface Mounted Technology SMT,比起“传统”的Pin Through Hole PTH“板上插针”方式就是“鸟枪换炮”。“市场对芯片是刚性需求,相应对芯片人才也会保持较大需求。未来,要等待企业成长、逐渐培养人才,也要等待高校输出高质量毕业生。”笔者说;要满足半导体行业对“芯片人”的用人需求,不仅需要企业提高薪资吸引人才,还需等待行业逐渐成熟,有能力培养并稳定人才。笔者表示,解决芯片行业缺人问题,还是需要市场发挥作用,逐渐调整,就从学会“说黑话,对暗号”开始吧。
  • 奥林巴斯晶圆半导体显微镜提升晶圆检测水平
    晶圆是制作半导体材料的主要部件,而在半导体晶圆的整体制造过程有400 至600个步骤,历时一到两个月完成。因此缺陷检测对于半导体制造过程非常重要,如果流程早期出现任何缺陷,则后续步骤中执行的所有工作都将被浪费,所以在半导体制造过程中缺陷检测是其中的关键步骤,用于确保良率和产量。这就需要用到技术先进的晶圆半导体显微镜来进行缺陷检测,主要用于识别并定位产品表面存在的杂质颗粒沾污、机械划伤、晶圆图案缺陷等问题。针对晶圆严格检测需求,奥林巴斯的MX63系列晶圆半导体显微镜,除了拥有图像清晰、易操作、检测速度快的优势之外,还针对晶圆缺陷检测做出了一系列的特殊功能,确保晶圆检测的准确性。可供选配的AL120系统的晶圆自动搬送机晶圆自动搬送机是奥林巴斯备选的,可安装在MX63系列上,使用AL120系统可实现无需使用镊子或工具,即可安全地将硅及符合半导体晶圆从晶圆匣运送到显微镜载物台上。此显微镜卓越的性能和可靠性能够安全、高效地对晶圆正面和背面进行宏观检测,同时搬送机还可帮助提高实验室工作效率。快速清洁无污染的检测奥林巴斯MX63系列晶圆半导体显微镜可实现无污染的晶片检测,其显微镜所有电动组件均安装在防护结构壳内,干净无污染,同时显微镜架、镜筒、呼吸防护罩及其他部件均采用防静电处理。另外,MX63系列采用的是电动物镜转换器,电动转换器的转速比手动物镜转换器更快更安全,在缩短检测间隔时间的同时让操作人员的手始终保持在晶圆下方,避免了潜在的污染。大尺寸晶圆一样能实现高效观察MX63系列晶圆半导体显微镜利用内置离合和XY旋钮,能够实现对载物台运动的粗调和微调,即便是针对300mm的晶片这样的大尺寸样品,载物台也能够实现高效的观察。适合所有晶圆尺寸晶圆的尺寸有很多,而奥林巴斯的晶圆半导体显微镜可配合各类150-200mm和200-300mm晶圆托架和玻璃台板使用,如果生产线上的晶圆尺寸发生变化,可更改载物台或者镜架,各种载物台均可用于检测75mm、100mm、125mm、150mm的晶圆甚至300mm的晶圆检测。晶圆检测是主要的芯片产品合格率统计分析方法之一,而在芯片的总面积扩大和相对密度提升的情况下,对晶圆的要求也不断升级,晶圆检测也越来越精细,这就需要更长的检测時间及其更为高精密繁杂的检测设备来实行检测。奥林巴斯MX63系列晶圆半导体显微镜,融合了奥林巴斯先进的光学技术和数字技术,拥有简便的直观操作和稳定的可靠性,可为用户创建简洁合理的工作流程和灵活高效的解决方案,让晶圆的检测更准确、更简单。
  • 破解“终极半导体”材料,晶盛机电全自动金刚石生长炉研发成功
    近日,晶盛机电技术研发再次突破,成功研发出全自动金刚石生长炉。01、金刚石晶体生长炉成功研制,晶体生长设备家族再添新成员官方消息称,晶盛机电晶体实验室经过半年多的工艺测试,全自动MPCVD法生长金刚石设备(型号XJL200A)成功生长出高品质宝石级的金刚石晶体。图片来源:晶盛机电据介绍,此次XJL200A金刚石生长炉成功解决了传统的MPCVD培育钻石生长技术的行业痛点:对多晶及生长裂纹等缺陷的判断、对晶体温度和生长厚度等关键生长参数的控制都依赖人工判断,克服了目前人工培育钻石过程中质量控制和规模化生产的瓶颈。图片来源:晶盛机电作为碳化硅、氮化镓之后具有代表性的新一代半导体材料,金刚石晶体又称钻石,被誉为“终极半导体”材料,此次金刚石晶体生长炉成功研制,标志着晶盛机电在硅、蓝宝石、碳化硅等晶体生长设备家族再添新成员。据项目负责人表示,目前已经完成了设备定型和批量工艺开发,设备即将投放市场,能为客户提供一站式解决方案。02、23万片意向订单在手,50亿SiC衬底晶片项目即将开工资料显示,晶盛机电是国内领先的半导体材料装备和LED衬底材料制造的高新技术企业,主要围绕硅、碳化硅、蓝宝石三大主要半导体材料开展业务。近年来,晶盛机电顺应行业发展趋势,提早布局碳化硅晶片市场。目前,该公司碳化硅外延设备已通过客户验证,同时在6英寸碳化硅晶体生长、切片、抛光环节建立测试线,其6英寸碳化硅晶片已获得客户验证。晶盛机电此前在投资者关系活动记录表中披露,截至2022年2月7日,客户A已与公司形成采购意向,2022年-2025年公司将优先向其提供碳化硅衬底合计不低于23万片。图片来源:晶盛机电 此外,为加快推进第三代半导体材料碳化硅业务,2月7日,晶盛机电发布公告称,拟募集资金不超过57亿元,其中拟投入31.34亿元在宁夏银川建设碳化硅衬底晶片生产基地项目。图片来源:晶盛机电2月25日,晶盛机电碳化硅衬底晶片生产项目正式落户宁夏。据央广网报道,该项目总投资50亿元,一期预计3月开工建设,投资总额33.6亿元,一期建成达产后预计年产6英寸碳化硅晶片40万片。
  • XRT 在半导体材料晶体缺陷表征中的应用介绍
    XRT 在半导体材料晶体缺陷表征中的应用介绍‍半导体(semiconductor)指常温下导电性能介于导体与绝缘体之间的材料。半导体在集成电路、消费电子、通信系统、光伏发电、照明、大功率电源转换等领域都有应用,如二极管就是采用半导体制作的器件。无论从科技或是经济发展的角度来看,半导体的重要性都是非常巨大的。大部分的电子产品,如计算机、移动电话或是数字录音机当中的核心单元都和半导体有着极为密切的关联。按照半导体材料发展历程和材料本征禁带宽度,习惯上按照如下方法进行分类:第一代半导体材料主要是指硅(Si)、锗(Ge)这类半导体材料,主要兴起于二十世纪五十年代,其兴起也带动了以集成电路为核心的微电子产业的快速发展,并被广泛的应用于消费电子、通信、光伏、军事以及航空航天等多个领域。就应用和市场需求量而言,半导体Si材料仍是半导体行业中体量最大的,产品规格以8-12英寸为主。第二代半导体材料是以砷化镓(GaAs)、磷化铟(InP)为主的化合物半导体,其主要被用于制作高频、高速以及大功率电子器件,在卫星通讯、移动通讯以及光通讯等领域有较为广泛的应用。相比于第一代半导体而言,化合物半导体长晶和加工工艺复杂,产品附加值要高一些,产品规格以3-6英寸为主,国内部分厂家可以提供8英寸晶圆。第三代半导体材料包括了以碳化硅(SiC)、氮化镓(GaN)为代表的宽禁带化合物半导体。相比于第一代及第二代半导体材料,第三代半导体材料在耐高温、耐高压、高频工作,以及承受大电流等多个方面具备明显的优势,因而更适合于制作高温、高频、抗辐射及大功率器件,在电力电子器件、微波射频等领域的应用优势更为明显。产品规格以2-6英寸为主。图1不同半导体材料禁带宽度及应用[1]在半导体材料制备和应用过程中,对于晶体缺陷的要求与控制是十分重要的。因为晶体缺陷的类型、大小和多少直接决定了半导体器件性能的优劣和使用稳定性等性能指标。所以,无论是在晶体长晶环节还是晶片加工及晶圆外延等环节,都要进行晶体/晶圆缺陷检查,确保使用在器件上芯片是满足设计要求的。晶圆中常见的缺陷主要有如下几类,参见图2[2]。点缺陷:在三维空间各方向上尺寸都很小的缺陷。空位、间隙原子、替位原子等;线缺陷:在两个方向上尺寸很小,而另一个方向上尺寸较大的缺陷。如位错,刃型位错和螺型位错;面缺陷:在一个方向上尺寸很小,在另外两个方向上尺寸较大的缺陷。如晶界、相界、表面等。体缺陷:杂质沉积、孔洞及析出相等。图2 半导体材料中常见晶体缺陷对于上述提到的四类半导体材料缺陷中,第一类缺陷属于原子层面的缺陷,通常是从掺杂及长晶工艺优化等角度去进行改进。通常不作为生产过程控制的主要参数,一般选择用其他方法进行测量,如采用FTIR方法可以测量Si晶体中代位C原子和间隙氧原子的浓度。第二到四类缺陷,则需要在加工环节进行100%直接或间接检测,确保所生产晶圆/芯片缺陷指标满足订单要求。对于这类缺陷传统方法就是采用腐蚀性化学药液(如熔融的KOH)对晶、体/圆进行腐蚀。在腐蚀过程中由于晶体有缺陷的区域会优先腐蚀,无缺陷区域则腐蚀速度相对较慢,所以在规定腐蚀时间后在晶圆表面会有腐蚀坑(Etch Pit)出现,这是一种破坏性的检测方法。腐蚀好的晶圆在显微镜下对这些腐蚀坑识别和计数,就可以得到该晶体的缺陷信息, 图3 为SiC 晶圆通过KOH腐蚀得到缺陷照片,缺陷主要有刃型位错、螺型位错和微管等[2]。图3 SiC 晶片腐蚀后缺陷形貌[3]对于半导体晶圆,上述传统缺陷表征方法最大的问题就是破坏性的,检测后的晶圆无法继续使用只能做报废处理。对于像第二代和第三代半导体材料而言,晶体生长技术要求水平较高,成品和晶圆数量受晶棒长度及其他加工方式限制而良率相对不高。像国内部分企业SiC 晶棒成品长度一般在20mm左右。如果按照单片晶圆成品厚度约在0.5mm,除去切割和研磨、抛光损耗,基本上0.8mm才能出一片合格晶圆。如果在晶棒头、尾各取一片晶圆去做缺陷检测,则有约8%的成本损耗。所以很多半导体厂家都希望有一种可以用于半导体晶体材料缺陷的表征的无损检测技术。日本理学株式会社(www.rigaku.com)作为全球著名的X-Ray 仪器制造商,自1923年以来,理学公司一直专注于X射线仪器领域的研发和生产。该公司生产制造的XRT (X-ray Topography)检测系统则是利用X射线的布拉格衍射原理和晶格畸变(缺陷)造成特征峰宽化和强度变化等特性,再结合理学公司开发的X射线形貌技术,可以对晶体内缺陷进行成像。这种XRT检测技术最大的优点就是无损检测,在不破坏晶圆的情况下实现2-12英寸半导体晶体中线缺陷、面缺陷和体缺陷的检测和表征。图4 XRT设备实物图图5 XRT 缺陷表征原理示意图[3]工作模式:XRT主要有反射成像和透射成像两种模式,反射模式是Cu靶,透射模式则是Mo靶,参见图6。透射模式成像后可以进行3D重构和成像,参见图7 SiC晶圆缺陷图片。图6 XRT 反射模式和透射模式[3]图7 SiC 晶圆缺陷表征[3]系统软件介绍:该仪器标配的图像分析软件可以对检测样品内的缺陷进行统计,给出缺陷数量和分布信息,参见图8。图 8 XRT 标配软件数据结果界面[3]后续我们会针对XRT在不同半导体材料检测和应用案例刊发几期相关介绍,敬请期待。附:[1] 第三代半导体-氮化镓(GaN) 技术洞察报告,P3 [2] 理学XRT 内部资料;[3] 理学XRT公开彩页.
  • 第三代半导体专利分析——碳化硅篇
    第三代半导体材料主要是以碳化硅(SiC)、氮化镓(GaN)、氧化锌(ZnO)、金刚石、氮化铝(AlN)等为代表的宽禁带半导体材料。与第一、二代半导体材料相比,第三代半导体材料具有更宽的禁带宽度、更高的击穿电场、更高的热导率、更高的电子饱和速率及更高的抗辐射能力,更适合于制作高温、高频、抗辐射及大功率器件,通常又被称为宽禁带半导体材料(禁带宽度大于2.3eV),亦被称为高温半导体材料。从目前第三代半导体材料及器件的研究来看,较为成熟的第三代半导体材料是碳化硅和氮化镓,而氧化锌、金刚石、氮化铝等第三代半导体材料的研究尚属起步阶段。碳化硅(SiC)和氮化镓(GaN),被行业称为第三代半导体材料的双雄。专利一般是由政府机关或者代表若干国家的区域性组织根据申请而颁发的一种文件,这种文件记载了发明创造的内容,并且在一定时期内产生这样一种法律状态,即获得专利的发明创造在一般情况下他人只有经专利权人许可才能予以实施。在我国,专利分为发明、实用新型和外观设计三种类型。专利文献作为技术信息最有效的载体,囊括了全球90%以上的最新技术情报,相比一般技术刊物所提供的信息早5~6年,而且70%~80%发明创造只通过专利文献公开,并不见诸于其他科技文献,相对于其他文献形式,专利更具有新颖、实用的特征。可见,专利文献是世界上最大的技术信息源,另据实证统计分析,专利文献包含了世界科技技术信息的90%~95%。如此巨大的信息资源远未被人们充分地加以利用。事实上,对企业组织而言,专利是企业的竞争者之间惟一不得不向公众透露而在其他地方都不会透露的某些关键信息的地方。因此,通过对专利信息细致、严密、综合、相关的分析,可以从其中得到大量有用信息。基于此,仪器信息网特统计分析了第三代半导体中碳化硅材料的专利信息,以期为从业者提供参考。(本文搜集信息源自网络,不完全统计分析仅供读者参考,时间以专利申请日为准)专利申请趋势分析(1985-2021)专利申请趋势分析(2010-2020)本次统计,以碳化硅为关键词进行检索,共涉及专利总数量为66318条(含世界知识产权组织940条专利),其中发明专利53498条、实用新型专利11780条和外观专利100条。从专利申请趋势分析(1985-2021)可以看出,2018年前相关专利呈现出不断增长的趋势,尤其是2018年之前十年的增长速度很快,2018年专利申请数量达到巅峰8081件,但此后专利申请量开始减少。这表明在18年前十年是碳化硅材料的研究高峰期,此后研发强度逐渐降低,一般而言这也意味着相关产业的前期研发已完成,步入了产业化阶段,市场生命周期进入成长期(行业生命周期分为四个阶段形成期、成长期、成熟期和衰退期)。由于数据采集时未到2021年底,2021年数据趋势不具有代表性。申请人数量趋势分析(2010-2020)发明人数量趋势分析(2010-2020)进一步分析2010-2020年之间的专利申请人数量趋势可以发现,申请相关专利的自然人也在18年之后略有下降。这表明在相关领域持续投入研发的企事业单位和科研院所也在逐渐减少,市场竞争机制加剧,企业的生命力越来越短,市场呈现出竞争对手减少的态势,未来市场将逐渐淘汰一些研发不足的企业。从发明人数量趋势变化可以发现,相关发明人在2019年达到顶点,但2018-2020年之间逐渐比较平稳,这表明相关研发工作也不在大规模招聘研发人员,未来从业者数量将趋于平稳。(专利申请人就是有资格就发明创造提出专利申请的自然人、法人或者其他组织,本调研中大部分为企事业单位和科研院所;专利法所称发明人或者设计人,是指对发明创造的实质性特点作出创造性贡献的人)TOP10申请人专利量排行及专利类型分布TOP10发明人专利量(排除不公告姓名)那么从事相关研发工作的主要有哪些单位呢?从申请人专利量排行可以看出,中芯国际在碳化硅领域的布局较多,其北京和上海的公司都要大量专利布局。具体来看,中芯国际的专利主要分布于半导体器件中的碳化硅层的生长、掺杂、刻蚀等工艺方面;三菱电机的专利主要集中于外延晶片的制造和相关半导体装置等方面。中芯国际和三菱不仅在中国发明专利量方面领先,同时发明授权专利数量也较多。碳化硅相关专利申请区域统计通过对区域专利申请量进行统计能够了解到目前专利技术的布局范围以及技术创新的活跃度,进而分析各区域的竞争激烈程度。从专利申请区域可以看出,碳化硅专利申请人主要集中于江苏省、广东省等,这些地区都是半导体产业发达的地区,其在第三代半导体方面的布局也快人一步。需要注意的是,本次统计以碳化硅为关键词检索,部分检索专利非半导体领域,相关结仅供参考。
  • 央视聚焦:卓兴半导体的高精度半导体封装设备
    卓兴的Mini LED像素固晶机和全新倒装COB封装工艺,不仅提升了国产半导体产业的技术水平,也代表了中国制造的力量。在央视的报道中,卓兴的COB封装工艺被特别强调。这种工艺通过简化的制程,实现了Mini LED芯片的高效封装,显著提高了显示屏的分辨率和画质。央视的镜头带领观众走进卓兴的生产线,一探究竟。卓兴半导体董事长曾义强先生在节目中分享了COB封装工艺的创新之处,包括发光效率的提升、芯片间隙的减小和光输出的均匀性。这些技术进步为Mini LED显示屏的超高清显示提供了有力支持。此外,卓兴的Mini LED像素固晶机在节目中也备受关注。该设备通过先进的机器视觉和机器臂技术,实现了RGB三色晶元的一次性转移固晶,大幅提高了生产效率。同时,AI技术的融合,使得卓兴设备在生产过程中能够进行自我修正和智能预警,确保了产品的高标准和高质量。卓兴半导体以其在半导体封装领域的专业实力,为客户提供了全面的解决方案。公司的主营产品不仅包括Mini LED晶片转移设备,还涵盖了功率器件封装设备和智能化控制设备等,展现了卓兴在技术创新和产品开发上的不懈努力。
  • 第三代半导体材料与器件相关标准盘点
    第三代半导体材料主要是以碳化硅(SiC)、氮化镓(GaN)、氧化锌(ZnO)、金刚石、氮化铝(AlN)等为代表的宽禁带半导体材料。与第一、二代半导体材料相比,第三代半导体材料具有更宽的禁带宽度、更高的击穿电场、更高的热导率、更高的电子饱和速率及更高的抗辐射能力,更适合于制作高温、高频、抗辐射及大功率器件,通常又被称为宽禁带半导体材料(禁带宽度大于2.3eV),亦被称为高温半导体材料。从目前第三代半导体材料及器件的研究来看,较为成熟的第三代半导体材料是碳化硅和氮化镓,而氧化锌、金刚石、氮化铝等第三代半导体材料的研究尚属起步阶段。碳化硅(SiC)和氮化镓(GaN),被行业称为第三代半导体材料的双雄。基于第三代半导体的优良特性,其在通信、汽车、高铁、卫星通信、航空航天等应用场景中颇具优势。其中,碳化硅、氮化镓的研究和发展较为成熟。以SiC为核心的功率半导体,是新能源汽车充电桩、轨道交通系统等公共交通领域的基础性控件;射频半导体以GaN为原材料,是支撑5G基站建设的核心;第三代半导体在消费电子、工业新能源以及人工智能为代表的未来新领域,发挥着重要的基础作用。近年来,随着新能源汽车的兴起,碳化硅IGBT器件逐渐被应用于超级快充,展现出了强大的市场潜力,第三代半导体发展进入快车道。随着第三代半导体,特别是氮化镓和碳化硅的市场爆发,相关标准也逐渐出台。无规矩不成方圆,只有有了规矩,有了标准,这个世界才变得稳定有序!标准是科学、技术和实践经验的总结。为在一定的范围内获得最佳秩序,对实际的或潜在的问题制定共同的和重复使用的规则的活动,即制定、发布及实施标准的过程,称为标准化。为规范第三代半导体材料的发展,相关组织和机构也出台了一系列的标准。(以下第三代半导体标准只统计其作为宽禁带半导体材料的现行相关标准)碳化硅(SiC)碳化硅(SiC)材料是功率半导体行业主要进步发展方向,用于制作功率器件,可显着提高电能利用率。可预见的未来内,新能源汽车是碳化硅功率器件的主要应用场景。特斯拉作为技术先驱,已率先在Model 3中集成全碳化硅模块,其他一线车企亦皆计划扩大碳化硅的应用。随着碳化硅器件制造成本的日渐降低、工艺技术的逐步成熟,碳化硅功率器件行业未来可期。相关标准如下,标准号标准名称CASA 001-2018碳化硅肖特基势垒二极管通用技术规范CASA 003-2018p-IGBT器件用4H-SiC外延晶片CASA 004.1-20184H-SiC衬底及外延层缺陷 术语CASA 004.2-20184H-SiC衬底及外延层缺陷 图谱CASA 006-2020碳化硅金属氧化物半导体场效应晶体管通用技术规范CASA 007-2020电动汽车用碳化硅(SiC)场效应晶体管(MOSFET)模块评测规范CASA 009-2019半绝缘SiC材料中痕量杂质浓度及分布的二次离子质谱检测方法T/IAWBS 013-2019半绝缘碳化硅单晶片电阻率非接触测量方法T/IAWBS 012-2019碳化硅单晶抛光片表面质量和微管密度测试方法-共焦点微分干涉光学法T/IAWBS 011-2019导电碳化硅单晶片电阻率测量方法-非接触涡流法T/IAWBS 010-2019碳化硅单晶抛光片表面质量和微管密度检测方法-激光散射检测法T/IAWBS 008-2019SiC晶片的残余应力检测方法T/IAWBS 007-20184H碳化硅同质外延层厚度的红外反射测量方法T/IAWBS 006-2018碳化硅混合模块测试方法T/IAWBS 005-20186英寸碳化硅单晶抛光片T/IAWBS 003-2017碳化硅外延层载流子浓度测定汞探针电容-电压法T/IAWBS 002-2017碳化硅外延片表面缺陷测试方法T/IAWBS 001-2017碳化硅单晶DB13/T 5118-2019 4H碳化硅N型同质外 延片通用技术要求DB61/T 1250-2019 SiC(碳化硅)材料半导体分立器件通用规范GB/T 32278-2015 碳化硅单晶片平整度测试方法GB/T 30867-2014 碳化硅单晶片厚度和总厚度变化测试方法GB/T 30868-2014 碳化硅单晶片微管密度的测定 化学腐蚀法SJ/T 11501-2015 碳化硅单晶晶型的测试方法SJ/T 11503-2015 碳化硅单晶抛光片表面粗糙度的测试方法SJ/T 11504-2015 碳化硅单晶抛光片表面质量的测试方法SJ/T 11502-2015 碳化硅单晶抛光片规范SJ/T11499-2015 碳化硅单晶电学性能的测试方法SJ/T 11500-2015碳化硅单晶晶向的测试方法GB/T 31351-2014碳化硅单晶抛光片微管密度无损检测方法GB/T 30656-2014碳化硅单晶抛光片GB/T 30866-2014碳化硅单晶片直径测试方法氮化镓(SiC)氮化镓,是氮和镓的化合物,是一种直接能隙的半导体,自1990年起常用在发光二极管中。此化合物结构类似纤锌矿,硬度很高。氮化镓的能隙很宽,为3.4电子伏特,可以用在高功率、高速的光电元件中,例如氮化镓可以用在紫光的激光二极管,可以在不使用非线性半导体泵浦固体激光器的条件下,产生紫光(405nm)激光。GaN材料系列具有低的热产生率和高的击穿电场,是研制高温大功率电子器件和高频微波器件的重要材料。目前,随着 MBE技术在GaN材料应用中的进展和关键薄膜生长技术的突破,成功地生长出了GaN多种异质结构。用GaN材料制备出了金属场效应晶体管(MESFET)、异质结场效应晶体管(HFET)、调制掺杂场效应晶体管(MODFET)等新型器件。标准号标准名称CASA 010-2019GaN材料中痕量杂质浓度及分布的二次离子质谱检测方法T/IAWBS 013—2019半绝缘碳化硅单晶片电阻率非接触测量方法T/GDC 69—2020氮化镓充电器GB/T 39144-2020 氮化镓材料中镁含量的测定 二次离子质谱法GB/T 37466-2019氮化镓激光剥离设备GB/T 37053-2018 氮化镓外延片及衬底片通用规范GB/T 36705-2018 氮化镓衬底片载流子浓度的测试 拉曼光谱法GB/T 32282-2015 氮化镓单晶位错密度的测量 阴极荧光显微镜法GB/T 32189-2015 氮化镓单晶衬底表面粗糙度的原子力显微镜检验法GB/T 32188-2015 氮化镓单晶衬底片x射线双晶摇摆曲线半高宽测试方法GB/T 30854-2014 LED发光用氮化镓基外延片蓝宝石(Al2O3) 蓝宝石晶体属于人造宝石晶体,主要应用于制作LED灯的关键材料,也是应用于红外军事装置、卫星空间技术、高强度激光的重要窗口材料。蓝宝石晶体是一种氧化铝的单晶,又称为刚玉。蓝宝石已成为一种重要的半导体衬底材料。标准号标准名称SJ/T 11505-2015 蓝宝石单晶抛光片规范GB/T 35316-2017 蓝宝石晶体缺陷图谱GB/T 34612-2017 蓝宝石晶体X射线双晶衍射摇摆曲线测量方法GB/T 34504-2017 蓝宝石抛光衬底片表面残留金属元素测量方法GB/T 34213-2017 蓝宝石衬底用高纯氧化铝GB/T 34210-2017 蓝宝石单晶晶向测定方法GB/T 33763-2017 蓝宝石单晶位错密度测量方法SJ/T 11505-2015 蓝宝石单晶抛光片规范GB/T 31353-2014 蓝宝石衬底片弯曲度测试方法GB/T 31352-2014 蓝宝石衬底片翘曲度测试方法GB/T 31093-2014 蓝宝石晶锭应力测试方法GB/T 31092-2014 蓝宝石单晶晶锭GB/T 30858-2014 蓝宝石单晶衬底抛光片GB/T 30857-2014 蓝宝石衬底片厚度及厚度变化测试方法DB44/T 1328-2014 蓝宝石图形化衬底片测试技术规范GB/T 14015-1992 硅-蓝宝石外延片其他标准第三代半导体被广泛的应用于IGBT功率器件中和发光材料中,对此,我们盘点了宽禁带半导体、功率器件和光电子器件标准。标准号标准名称CASA 002-2021宽禁带半导体术语T/IAWBS 004-2017电动汽车用功率半导体模块可靠性试验通用要求及试验方法T/IAWBS 009-2019功率半导体器件稳态湿热高压偏置试验GB/T 29332-2012半导体器件 分立器件 第9部分:绝缘栅双极晶体管(IGBT)GB/T 36360-2018 半导体光电子器件 中功率发光二极管空白详细规范GB/T 36358-2018 半导体光电子器件 功率发光二极管空白详细规范GB/T 36357-2018 中功率半导体发光二极管芯片技术规范GB/T 36356-2018 功率半导体发光二极管芯片技术规范GB/T 36359-2018 半导体光电子器件 小功率发光二极管空白详细规范SJ/T 11398-2009 功率半导体发光二极管芯片技术规范SJ/T 11400-2009 半导体光电子器件 小功率半导体发光二极管空白详细规范SJ/T 11393-2009 半导体光电子器件 功率发光二极管空白详细规范现行SJ/T 1826-2016 半导体分立器件 3DK100型NPN硅小功率开关晶体管详细规范SJ/T 1834-2016 半导体分立器件 3DK104型NPN硅小功率开关晶体管详细规范SJ/T 1839-2016 半导体分立器件 3DK108型NPN硅小功率开关晶体管详细规范SJ/T 1833-2016 半导体分立器件 3DK103型NPN硅小功率开关晶体管详细规范SJ/T 1831-2016 半导体分立器件 3DK28型NPN硅小功率开关晶体管详细规范现行SJ/T 1830-2016 半导体分立器件 3DK101型NPN硅小功率开关晶体管详细规范SJ/T 1838-2016 半导体分立器件 3DK29型NPN硅小功率开关晶体管详细规范SJ/T 1832-2016 半导体分立器件 3DK102型NPN硅小功率开关晶体管详细规范IEC 60747半导体器件QC/T 1136-2020 电动汽车用绝缘栅双极晶体管(IGBT)模块环境试验要求及试验方法JB/T 8951.1-1999 绝缘栅双极型晶体管JB/T 8951.2-1999 绝缘栅双极型晶体管模块 臂和臂对需要注意的是,CASA和IAWBS属于团体标准、GB属于国家标准、DB是地方标准。仪器信息网为了更好地服务半导体行业用户,特邀请您参与问卷调研,麻烦大家动动小手完成问卷,参与即得10元话费!活动结束还将择优选择10名认真填写用户送出50元话费!!!http://a72wfu5hktu19jtx.mikecrm.com/zuXBhOy
  • 引领全球半导体产业增长,2024年中国将新建18座晶圆厂
    SEMI World Fab Forecast 报告预计,2024年产能将增长6.4%,达到每月3000多万片晶圆。在政府的大力资助下,预计中国将在扩大半导体生产方面引领世界,预计2024年将有18家新晶圆厂开始生产。在2023年晶圆处理能力增长 5.5% 至 2960万 WSPM之后,晶圆处理能力大幅增长6.4%。随着针对人工智能(AI)和高性能计算(HPC)应用程序的处理器需求持续快速增长,英特尔、台积电和三星铸造在前沿逻辑方面的发展主要推动了这一扩张。SEMI预计,从2022年到2024年,将有多达82个新的晶圆厂上线,其中包括计划于2023年上线的11个项目和2024年雄心勃勃的42个项目。这些新设施将使用从100毫米到300毫米的一系列晶片尺寸和数十种成熟的前沿工艺技术,这表明整个半导体行业正在进行多样化的扩张。在政府资金和对芯片制造商的各种激励措施的支持下,中国准备引领这一扩张。2023年,中国芯片制造商的产能预计将同比增长12%,达到760万WSPM。这一增长预计将在2024年加速至13%,达到860万WSPM的产能。预计2024年,中国将有18家新晶圆厂开始运营。其他地区也在为全球芯片生产能力的提高做出贡献。台湾仍将是半导体产能第二大地区,预计2023年将增至540万WSPM,2024年将增至570万WSPM。韩国和日本紧随其后,韩国预计在2024年达到510万WSPM。美洲、欧洲、中东和东南亚也在为增长做准备,每个地区都将在2024年推出几个新的晶圆厂。(图片来源:SEMI)就半导体行业的特定细分市场而言,预计代工供应商将主导设备采购,2024年其产能将增至创纪录的1020万WSPM。尽管2023年的速度有所放缓,但包括DRAM和3D NAND在内的存储器段预计将逐渐增加容量。在汽车电气化的推动下,离散和模拟细分市场预计也将大幅增长,突显出全球半导体行业扩张的多样性和动态性。
  • 最新国产半导体设备盘点
    p style=" text-indent: 2em " 半导体集成电路制造过程及其复杂,需要用到的设备包括:硅片制造设备、晶圆制造设备、封装设备和辅助设备等。设备投入往往是生产线建立成本中占据最大份额的部分。根据SEMI的数据,以一座投资规模为15亿元美金的晶圆厂为例,晶圆厂70%的投资用于购买设备(约10亿元美金),设备中的70%是晶圆制造设备,封装设备和测试设备占比约为15%和10%。晶圆制造设备中,光刻机,刻蚀机,薄膜沉积设备为核心设备,分别占晶圆制造环节设备成本的30%,25%,25%。 /p p style=" text-indent: 2em " 半导体设备行业,集中度非常高,基本被美日荷垄断。全球前十大厂商基本占据了超过90%的市场份额,荷兰公司ASML更是几乎垄断了高端光刻机市场。 span style=" text-indent: 2em " 实现设备本土化是我国发展集成电路产业的关键之一,关系到我国能否拥有产业自主权。随着我国对半导体产业的重视,国产半导体设备不断推陈出新,以下为近期推出的国产半导体设备 /span /p h3 span style=" text-indent: 0em " 一、盛美半导体设备推出应用于先进存储器的18腔单晶圆清洗设备 /span /h3 p style=" text-indent: 2em " 作为先进半导体器件的晶圆清洗技术领域中领先的设备供应商,盛美半导体设备(NASDAQ:ACMR)近日发布了Ultra C VI单晶圆清洗设备,这是加入Ultra C清洗系列的最新产品。Ultra C VI旨在对动态随机存取存储器(DRAM)和3D NAND闪存晶圆进行高产能清洗,以实现缩短存储产品的生产周期。这款新产品以盛美成熟的多腔体技术为基础,进一步扩展了清洗设备产品线。Ultra C VI系统配备了18个单片清洗腔体,对比盛美现有的12腔设备Ultra C V系统,其腔体数及产能增加了50%,而其设备宽度不变只是设备长度有少量增加。 /p p style=" text-indent: 0em text-align: center " img style=" max-width:100% max-height:100% " src=" http://s.laoyaoba.com/jwImg/news/2020/06/27/15932616238169.png" / /p h3 style=" text-indent: 0em " 二、光力科技自主研发8230双轴全自动划片机 /h3 p style=" text-indent: 2em " span style=" text-indent: 2em " 8230双轴全自动划片机,是一款高效率、高精度、高性能、低使用成本的双轴(对向)全自动晶圆切割机,最大切割工件尺寸可达12英寸。该款产品在郑州基地研发制造,是由郑州研发团队携以色列ADT和英国LP & amp LPB研发团队的工程师合力研发打造的第一颗果实,接下来将会到客户工厂进行DEMO。8230双轴全自动划片机未来主要在郑州基地生产制造,预计2020年底开始向市场批量供货。 /span /p p style=" text-indent: 0em " span style=" text-indent: 2em " img style=" max-width:100% max-height:100% " src=" http://www.gltech.cn/Uploads/Ueditor/Upload/Image/20200703/1593749050742830.png" / /span /p p style=" text-indent: 0em " /p h3 三、北方华创正式推出NMC612G12英寸金属刻蚀机 /h3 p style=" text-indent: 2em " NMC612G 12 英寸金属刻蚀机是电感耦合高密度等离子体干法刻蚀机,具有多种均匀性控制手段,如电流分布控制技术、气体比例控制技术、静电卡盘温控技术等,为客户提供了多种均匀性调节选择,拓宽了工艺空间。其中,静电卡盘及传输系统不但适用于常规硅片的传输,也适用于不同领域的玻璃片、SOG 片等晶圆的传输及吸附,可多元化满足各领域多种需求。此外,针对刻蚀后残留气体导致的金属腐蚀问题,该设备采用微波去胶技术,利用 O2 产生等离子体,可以较高的速率实现不同金属刻蚀后 PR 掩膜的去除,并保证金属长期存放过程中不被腐蚀。 /p p style=" text-align: center " span style=" text-indent: 0em " img style=" max-width:100% max-height:100% " src=" https://inews.gtimg.com/newsapp_bt/0/12005671417/641" / /span br/ /p p /p h3 四、中微公司发布用于深紫外LED量产的MOCVD设备Prismo HiT3& #8482 /h3 p style=" text-indent: 2em " 该设备是适用于高质量氮化铝和高铝组分材料生长的关键设备,反应腔最高温度可达1400度,具有优异的工艺重复性、均匀性和低缺陷率。该设备同时也为高产量而设计,单炉可生长18片2英寸外延晶片,并可延伸到生长4英寸晶片。 /p p style=" text-indent: 2em " 同时,该设备具有新颖的腔体设计,能在高温环境下生长高质量氮化铝工艺,并具有业内领先的深紫外LED高产出率;同时具备较长的平均免开腔维护间隔时间,进一步延长正常运行时间并提高产能。真空自动化传输系统可以抑制颗粒物的产生,并减少缺陷。自动化升降机构可方便维护操作并有效节省维护时间。 /p p style=" text-align: center " img style=" max-width:100% max-height:100% " src=" http://p1.itc.cn/q_70/images03/20200730/0e26a8f6d5d24c2c98a0dbfa8752c15f.jpeg" / /p h3 五、扬杰科技推出的Low VF肖特基产品 /h3 p style=" text-indent: 2em " 扬杰科技推出的Low VF肖特基产品,封装类型丰富,满足不同应用需求,主要用于适配器、LED电源、家电等行业 /p p style=" text-indent: 2em " 扬杰科技推出的Low VF产品,电压在45V~200V区间,& nbsp 电流在20A~30A区间,芯片参数稳定,一致性优异,同时采用环保物料,符合RoHS标准,主要用于适配器,LED电源、家电等行业。 /p p style=" text-indent: 2em " 虽然我国半导体设备不断发展,取得了长足的进步,但高端的半导体设备仍与国际先进水平有所差距。伴随着我国半导体产业的发展,对半导体设备的需求也将不断增加,发展尖端半导体设备刻不容缓。 /p
  • Surpass在半导体行业应用
    近期两个著名的半导体制造商开始使用安东帕的固体表面Zeta电位分析仪来优化生产流程。 这不仅标志着在跌宕起伏的美国半导体市场,今年是安东帕的Surpass获得成功的一年,并且再次证实了固体表面分析仪器在半导体行业的重要性。 Surpass Zeta电位分析仪 Surpass Zeta电位分析仪是现代化的固体表面分析工具,灵敏度高,能够检测表面性质上的最微小变化,从小颗粒到大晶片,不同的测量元件适合不同形状样品测量。可快速更换样品槽,每秒刷新测量数值。并有全自动的测量程序无需手动干预。
  • Surpass在半导体行业应用
    近期两个著名的半导体制造商开始使用安东帕的固体表面Zeta电位分析仪来优化生产流程。   这不仅标志着在跌宕起伏的美国半导体市场,今年是安东帕的Surpass获得成功的一年,并且再次证实了固体表面分析仪器在半导体行业的重要性。 Surpass Zeta电位分析仪   Surpass Zeta电位分析仪是现代化的固体表面分析工具,灵敏度高,能够检测表面性质上的最微小变化,从小颗粒到大晶片,不同的测量元件适合不同形状样品测量。可快速更换样品槽,每秒刷新测量数值。并有全自动的测量程序无需手动干预。
  • 半导体杂质检测难?半导体专用ICP-MS来帮你!
    对Fab工厂而言,控制晶圆、电子化学品、电子特气和靶材等原材料中的无机元素杂质含量至关重要,即便是超痕量的杂质都有可能造成器件缺陷。然而半导体杂质含量通常在ppt级,ICP-MS分析时用到的氩气及样品基体都很容易产生多原子离子干扰,标准模式、碰撞模式下很难在高本底干扰的情况下分析痕量的目标元素。珀金埃尔默NexION系列半导体专用ICP-MS,凭借其独特的以动态反应池技术为基础的UCT(通用池)技术,既能实现标准模式、碰撞模式,也可以通过反应模式消除干扰,从根本上成功解决了多原子干扰的技术难题。晶圆中的金属杂质分析(UCT-ICP-MS)晶圆等半导体材料中的主要成分是硅。高硅基体的样品在传统的冷等离子体条件下分析,其中的耐高温元素硅极易形成氧化物。这些氧化物沉积在锥口表面后,会造成明显的信号漂移。NexION系列半导体专用ICP-MS在高硅基体的样品分析中采用强劲的高温等离子体,大大降低了信号漂移。通过通入纯氨气作为反应气,在DRC 模式下,有效消除了40Ar+ 对40Ca+、40Ar19F+ 对59Co+、40Ar16O+ 对56Fe+ 等的干扰。通过调节动态带通调谐参数消除不希望生成的反应副产物,克服了过去冷等离子体的局限,有效去除多原子离子的干扰。在实际检测中实现了10 ng/L 等级的精确定量,同时表现出良好的长期稳定性。基质耐受性:Si 基质浓度为100ppm 到5000ppm 样品100ppt 加标回收稳定性:连续进样分析多元素加标浓度为100ppt 的硅样品溶液(硅浓度为2000ppm)《NexION 300S ICP-MS 测定硅晶片中的杂质》NexION ICP-MS 测定半导体级盐酸中的金属杂质在半导体设备的生产过程中,许多流程中都要用到各种酸类试剂。其中最重要的是盐酸(HCl),其主要用途是与过氧化氢和水配制成混合物用来清洁硅晶片的表面。由于半导体设备尺寸不断缩小,其生产中使用的试剂纯度变得越来越重要。ICP-MS具备精确测定纳克/升(ng/L,ppt)甚至更低浓度元素含量的能力,是最适合测量痕量及超痕量金属的技术。然而,常规的测定条件下,氩、氧、氢离子会与酸基体相结合,对待测元素产生多原子离子干扰。如,对V+(51) 进行检测时去除 ClO+ 的干扰。虽然在常规条件下氨气与ClO+ 的反应很迅速,但如果需要使反应完全、干扰被去除干净,则需要在通用池内使用纯氨气。NexION系列半导体专用ICP-MS的通用池为四级杆,具备精准可控的质量筛选功能,可以调节RPq 参数以控制化学反应,防止形成新的干扰,有效应对使用高活性反应气体的应用。20% HCl 中各元素的检出限、背景等效浓度、10 ng/L 的加标回收率20% HCl 中典型元素ppt 水平标准曲线20% HCl 中加标50 ng/L 待测元素,连续分析10 小时的稳定性《利用NexION 2000 ICP-MS 对半导体级盐酸中的杂质分析》电子特气直接进样分析技术(GDI-ICP-MS)半导体所使用的特殊气体分析传统方法有两种:一种是使用酸溶液或纯水对气体进行鼓泡法吸收,然后导入ICP-MS进行分析;另一种是使用滤膜对气体中颗粒物进行收集,然后对滤膜消解后上机。然而无论是鼓泡法吸收还是滤膜过滤收集、消解,都存在样品制备过程容易被污染、鼓泡时间难以确定、不同元素在酸中溶解度不一样等各种问题,分析结果的可靠性和重现性都难以保证。GDI-ICP-MS系统可以将气体直接导入到等离子中进行激发,避免了额外的前处理步骤,具有方便、高效、不容易受污染等特点,从根本上解决传统方法的一系列问题。GDI-ICPMS气体直接进样技术GDI-ICPMS 直接定量分析气体中金属杂质GDI-ICP-MS法绘制的校准曲线(标准气体产生方式:在氩气中雾化标准溶液,这些标气对所有待测元素的线性都在0.9999以上)《使用气体扩散和置换反应直接分析气体中金属杂质》半导体有机试剂中纳米颗粒的分析(Single particle-ICP-MS)单颗粒ICP-MS(SP-ICP-MS)技术已成为纳米颗粒分析的一种常规手段,采用不同的进样系统,能在100~1000 颗粒数每毫升的极低浓度下对纳米颗粒进行检测、计数和表征。除了颗粒信息,单颗粒ICP-MS 还可以在未经前级分离的情况下检测溶解态元素浓度,可检测到ppb级含量的纳米颗粒,实现TEM、DLS等纳米粒径表征技术无法完成的痕量检测。用ICP-MS分析铁离子(56Fe+)时会受到氩气产生的40Ar16O+的严重干扰。利用纯氨气作反应气的动态反应池技术是消除40Ar16O+对铁离子最高丰度同位素56Fe+干扰最有效的途径,而只有对56Fe+的分析才能获得含铁纳米颗粒分析最低的检出限。90% 环己烷/10% 丙二醇甲醚混合液测定图谱,有含铁纳米颗粒检出TMAH 中含铁纳米颗粒结果图谱:(a)粒径分布;(b)单个含铁纳米颗粒实时信号TMAH 中含铁纳米颗粒粒径和浓度由Fe(OH)2 到总铁的质量换算《利用单颗粒ICP-MS在反应模式下测定半导体有机溶剂中的含铁纳米颗粒 》SP-ICP-MS技术测定化学-机械整平(CMP)中使用的元素氧化物纳米颗粒悬浮物的特性氧化铝和氧化铈纳米颗粒常用于纳米电子学和半导体制造行业中化学-机械 (CMP)半导体表面的平整。CMP悬浮物纳米粒子的尺寸分布特征以及大颗粒的辨别,是光刻过程质量控制的重要方面,会影响到硅晶片的质量。既可以测量可溶分析物浓度、又能测定单个纳米粒子的单颗粒模式ICP-MS(SP-ICP-MS)是分析金属纳米粒子的最有前途的技术。SP-ICP-MS技术具有高灵敏度、易操作、分析速度快的特点,纳米粒子引入等离子体中被完全电离,随后离子被质谱仪检测,信号强度与颗粒尺寸有关。因此SP-ICP-MS可为用户提供颗粒浓度(颗/mL),尺寸大小和尺寸分布。为确保一次只检测一个单颗粒,必须稀释样品以实现分辨的目的。这就要求质谱仪必须能够有很快的测量速度,以确保能够检测到在50nm纳米颗粒的瞬时信号(该信号变化的平均时间为300~500μs)。珀金埃尔默NexION系列半导体专用ICP-MS单颗粒操作模式能够采集连续数据,无需设置定位时间,每秒钟获取高达100 000个数据点。结合纳米颗粒分析软件模块,可以实现单颗粒纳米颗粒的准确分析。采集数据比瞬时信号更快的纳米信号积分图悬浮物1~4归一化颗粒尺寸分布频次图《使用单颗粒电感耦合等离子体质谱法(SP-ICP-MS)分析CeO2 化学机械抛光化浆料》On-line ICP-OES 在线监控磷酸中的硅含量在最新的立式3D NAND 闪存的生产工艺中,需要使用磷酸进行湿法刻蚀。在生产过程中,必须监控这种特殊的、高选择性氮化的磷酸中硅的含量,以控制工艺质量。当磷酸中硅含量发生改变时,必须排空并更换磷酸。在线ICP-OES技术响应迅速,可实现7天*24小时不间断检测,是最适合磷酸中硅含量监控的方法。而Avio500 紧凑的体积非常适合空间有限的Fab 厂;垂直炬管配合独特的切割尾焰技术,不需要任何维护也能获得最佳的数据稳定性。在线监控系统可实现:自动配制校准曲线7天*24小时全自动运行质控功能(超出线性范围则重新校准)可同时监控5个模块(多达20个采样点)允许ICP-OES在线或离线分析间切换点击链接获取文中提到的解决方案和更多半导体相关资料:http://e86.me/4qfk7N关于珀金埃尔默:珀金埃尔默致力于为创建更健康的世界而持续创新。我们为诊断、生命科学、食品及应用市场推出独特的解决方案,助力科学家、研究人员和临床医生解决最棘手的科学和医疗难题。凭借深厚的市场了解和技术专长,我们助力客户更早地获得更准确的洞见。在全球,我们拥有12500名专业技术人员,服务于150多个国家,时刻专注于帮助客户打造更健康的家庭,改善人类生活质量。2018年,珀金埃尔默年营收达到约28亿美元,为标准普尔500指数中的一员,纽交所上市代号1-877-PKI-NYSE。了解更多有关珀金埃尔默的信息,请访问www.perkinelmer.com.cn。
  • 【安捷伦】话说半导体 | 二百五十万年过去了,人类还是在玩“石头”
    二百五十万年前,人类利用硅的原始形态——石头,开创了石器时代,人类文明由此展开。当下的人们转向了更高深的无人驾驶、人工智能以及物联网技术,而它们都与硅的更高级形态——芯片,有着密不可分的联系。沧海桑田,二百五十万年过去了,人类还在玩“石头”,不同的是现在的“石头”糅合了更多高科技技术。上世纪中叶,伴随着晶体管的问世,人类以硅为钥匙,打开了电子信息时代的大门。小小的硅晶片,历经几百甚至上千道工序,集成无数的晶体管,最终制成芯片。几乎在制程工艺的每一个步骤,都会使用到超纯化学品,并且对于硅晶片,要严格控制金属元素污染。超纯化学品中的杂质检测硫酸是制程工艺中最常见的湿处理清洗剂,随着半导体技术的发展,对硫酸的纯度要求也以数量级式提高。但由于硫酸基体复杂,其中大量的 S、O 等元素基团会对 Ti、V、Zn、Cr 等元素的测试造成极大的质谱干扰,且样品稀释处理也给碱金属、碱土金属元素检测带来了巨大的挑战。所以在半导体工厂常常会出现以下对话:MFG:“喂?这个硫酸样品要加急测试哦,能测多低就测多低,千万不要 out spec 啊!”CLab:“emmmmm,又是硫酸,Ti、V、Zn、Cr,真是太烦心咯̷̷”不用担心!安捷伦 ICP-MS/MS 技术的推出,为半导体行业复杂基质化学品的超痕量分析提供了可靠的解决方案:Agilent 8900 ICP-MS/MS 配备 NH3、O2 等反应气可有效消除硫酸基体的多原子离子干扰,实现硫酸稀释 10 倍直测,并达到 ppt 级检测限,完全满足国内主流制程工艺的要求。具体测试数据如表 1 所示。图 1. Agilent 8900 ICP-MS/MS表 1. 9.8% H2SO4 测试数据硅晶片中的金属元素杂质检测随着半导体制程的不断发展,硅晶片作为 IC Fab 中最基本的材料,势必会朝着大直径、零缺陷、精抛光、少杂质的方向发展。金属元素杂质会直接影响制程的良率及芯片的品质,因此,对金属杂质含量的检测也愈加严苛。图 2. 硅晶片,因其为圆形又称为晶圆安捷伦 ICP-MS/MS 针对半导体行业中高硅基体的独特设计,有效解决了大量硅沉积抑制仪器灵敏度的问题,突破了传统冷焰分析模式下,采用热焰 1500W 等离子体条件实现了所有元素(包括 Na、K 等碱金属,Ca 等碱土金属)分析,既保证长时间稳定性,又能实现 ppt 级检测限,具体测试数据如表 2 所示。表 2. 热焰条件下硅晶片表面元素杂质测试数据在半导体大硅晶片时代,安捷伦 ICP-MS/MS 技术为不断降低的金属杂质含量检测提供可靠的保障,同时也可作为半导体制程工艺中失效分析的有力工具,持续助力半导体行业的高速发展!推荐阅读:1. 安捷伦半导体分析解决方案 https://www.agilent.com/zh-cn/solutions/semiconductor-analysis 2. ICP-MS 期刊 | 安捷伦半导体行业解决方案创新之路,附海量干货下载 https://www.agilent.com/zh-cn/icpms-bandaoti关注“安捷伦视界”公众号,获取更多资讯。
  • 天科合达自筹第三代半导体材料碳化硅项目开工
    p & nbsp & nbsp & nbsp & nbsp 近日,北京天科合达半导体股份有限公司(以下简称“天科合达”)第三代半导体碳化硅衬底产业化基地建设项目开工仪式举行。 /p p style=" text-align: center " img style=" max-width:100% max-height:100% " src=" https://img.dramx.com/website/dramx/20200819094128_2.png" / /p p style=" text-align: center " Source:天科合达 /p p & nbsp & nbsp & nbsp & nbsp 资料显示,第三代半导体碳化硅衬底产业化基地建设项目是天科合达自筹资金建设的用于碳化硅晶体衬底研发及生产的项目,总投资约9.5亿元人民币,总建筑面积5.5万平方米,新建一条400台/套碳化硅单晶生长炉及其配套切、磨、抛加工设备的碳化硅衬底生产线,项目计划于& nbsp 2022年年初完工投产,建成后可年产碳化硅衬底12万片。 /p p & nbsp & nbsp & nbsp & nbsp 北京天科合达半导体股份有限公司总经理杨建表示,目前第三代半导体行业正处于蓬勃发展的阶段,近两年国家对第三代半导体产业高度重视,天科合达公司作为国内领先的碳化硅晶片生产企业和全球主要碳化硅晶片生产企业之一,该项目在大兴区黄村镇顺利开工建设,标志着北京市SiC衬底材料和器件的产业进程将进一步加速发展,对于促进我国碳化硅半导体产业延伸,引领第三代半导体产业发展具有重要的示范意义。 /p h4 科创板IPO申请已获上交所问询 /h4 p & nbsp & nbsp & nbsp & nbsp 资料显示,天科合达于2006年9月由新疆天富集团、中国科学院物理研究所共同设立,目前注册资本为18384万元,是一家专业从事第三代半导体碳化硅(SiC)晶片研发、生产和销售的高新技术企业,是全球SiC晶片的主要生产商之一,拥有一个研发中心和一个集晶体生长-晶体加工-晶片加工-清洗检测的全套碳化硅晶片生产基地;全资子公司—新疆天科合达蓝光半导体有限公司位于新疆石河子市,主要进行碳化硅晶体生长。 /p p & nbsp & nbsp & nbsp & nbsp 目前,天科合达正在闯关科创板,7月14日,上交所正式受理该公司的科创板申请,目前其审核状态为“已问询”。根据招股说明书(申报稿)显示,天科合达此次拟募集资金5亿元,拟用于第三代半导体碳化硅衬底产业化基地建设项目。 /p p & nbsp & nbsp & nbsp & nbsp 申报稿显示,天科合达第三代半导体碳化硅衬底产业化基地建设项目计划投资总额为9.6亿元,其中以募集资金投入金额为5亿元,将主要建设一个包括晶体生长、晶片加工和清洗检测等全生产环节的生产基地。项目投产后年产12万片6英寸碳化硅晶片,其中6英寸导电型碳化硅晶片约为8.2万片,6英寸半绝缘型碳化硅晶片约为3.8万片。 /p p & nbsp & nbsp & nbsp & nbsp 根据国家发改委发布的《战略型新兴产业重点产品和服务指导目录(2016年版)》,碳化硅等电子功能材料列入战略型新兴产业重点产品目录。根据工信部、国家发改委、科技部与财政部联合发布的《新材料产业发展指南》,宽禁带半导体材料属于鼓励发展的“关键战略材料”,大尺寸碳化硅单晶属于“突破重点应用领域急需的新材料”。 /p p & nbsp & nbsp & nbsp & nbsp 根据2017年科技部发布的《“十三五”先进制造技术领域科技创新专项规划》,针对碳化硅(SiC)、氮化镓(GaN)等为代表的宽禁带半导体技术对关键制造装备的需求,开展大尺寸(6英寸)宽禁带半导体材料制备、器件制造、性能检测等关键装备与工艺研究已经列为我国“十三五”期间先进制造领域的重点任务。 /p p & nbsp & nbsp & nbsp & nbsp 作为宽禁带半导体器件制造的关键原材料,碳化硅衬底材料制造的技术门槛较高,国内能够向企业用户稳定供应4英寸及6英寸碳化硅衬底的生产厂商相对有限。受中美贸易环境等经济局势影响,近年来我国碳化硅器件厂商的原材料供应受到较大程度的制约,下游市场出现了供不应求的局面。提高碳化硅衬底材料的国产化率、实现进口替代是我国宽禁带半导体行业亟需突破的产业瓶颈。 /p p & nbsp & nbsp & nbsp & nbsp 天科合达表示,公司拟投资建设的第三代半导体碳化硅衬底产业化基地建设项目在扩大现有产能的基础上,通过进一步优化工艺技术,能够实现对下游客户的稳定批量供应,缓解下游市场对碳化硅衬底材料的迫切需求。同时能够进一步提升核心产品的竞争力,提高公司在国内和国际的市场地位,增强在宽禁带半导体材料领域的影响力。 /p
  • 群创光电:将把半导体封测正式纳入营业项目
    据台湾省经济日报报道,中国台湾面板大厂群创光电将于6月24日举行股东常会,期间除了备受业内瞩目的董事改选即大股东鸿海法人代表全数退出董事会外,群创也将修改公司章程,新增“半导体封装及测试代工业务产品”营业项目,显示其进军“面板级扇出型封装”的业务如今已达一定规模,因而正式将半导体封测纳入营业项目。当下正值面板产业景气度下行的阶段,今年上半年,消费电子市场需求持续低迷,国内各终端品牌纷纷下修电视、PC、智能手机等产品年度出货目标,各显示屏市场需求也下滑明显。据集微网统计,TV行业下滑大概30%水平,PC行业为10%-20%,智能手机行业为30%。群创光电选择在此时将半导体相关业务纳入营业项目,凸显出其积极活化旧世代面板厂,抢进半导体封测代工市场的决心,随着业务的逐步发展,群创光电未来有望借半导体事业开启新布局。群创凭借旧世代的3.5代面板生产线,搭配相关技术能力从而成功转型跨入半导体封装领域。群创光电总经理杨柱祥分析,3.5代面板的基板面积为12寸晶圆的六倍,面积利用率可由晶圆级的85%提升至95%,提供5G及AIoT发展下先进元器件封装的需求,产业应用的价值可提升十倍,估计量产后衍生半导体的封装产值将达140亿元以上。事实上,群创布局半导体封测代工市场已有多年,2019年9月,在台北国际半导体展(SEMICON Taiwan)上,群创光电就与中国台湾工研院共同宣布,在中国台湾经济部技术处“A+企业创新研发计划”的支持下,与嵩展、紘泰、新应材合作,花三年时间完成全球第一个面板产线转型扇出型面板封装技术的建立与量产,抢进手机及物联网晶片封装市场。中国台湾省工研院指出,传统扇出型封装以“晶圆级扇出型封装”为主,但由于设备成本高、晶圆使用率仅为85%,相关应用若要持续扩大,扩大制程基板使用面积以降低制作成本就很重要。“面板级扇出型封装”因面板的基板面积较大且是方形,芯片也是方形,生产面积利用率可达95%,凸显在面积使用率上的优势。群创将旧世代3.5代面板产线转而打造成面板级扇出型晶片封装应用,除了提升产线利用率外,就资本支出来说也更具优势,未来更可切入中高端封装产品(应用处理器AP、CPU、GPU)供应链,抢攻封装厂订单,以创新技术创造高价值。除了项目转型之外,群创今年的股东常会还将改选九席董事,群创公告的董事候选人名单中,四席董事、五席独董全部由自然人担任,鸿海原透过旗下鸿扬创投掌握两席法人董事,全数撤出群创董事会。
  • 用于仪器制造,我国前沿半导体材料碲锌镉制备技术取得新突破
    日前,安徽承禹半导体材料科技有限公司(简称“承禹新材”)获得中国科学院半导体研究所关于第三代前沿半导体材料碲锌镉单晶棒及晶片的检测检验报告。其结论和数据显示,承禹新材制造的碲锌镉单晶棒及晶片,在红外透过率等综合参数性能、产品良率、晶棒及晶片尺寸规格、尤其是3英寸的全单晶圆片等几项关键指标方面,均处于国内同行业中遥遥领先、名列前茅的位阶,部分指标追平甚至领先国际技术水平。中国科学院半导体研究所是中国国务院直属事业单位,是集半导体物理、材料、器件及其应用于一体的半导体科学技术的综合性研究机构,在国内具有很高的权威性,被称为“引领我国半导体科学技术发展的火车头”。“承禹新材此次顺利获得中科院半导体所的产品检测报告,既彰显出该公司在碲锌镉半导体材料制备技术方面具有雄厚的实力,也可以看出该公司未来巨大的发展潜力。”一位资深业内人士表示。碲锌镉,英文名称cadmium zinc telluride,简写为CZT。自然界中并不现存有该物质,它是人工用碲、锌及镉三种单质(包含其它微量添加物质)化合生长而成单晶体,是属于第三代前沿战略性的半导体材料,是当前国际国内制造室温中红外探测、X射线探测、γ射线探测、核辐射及高能射线等探测器最为先进、优异的材料。据悉,碲锌镉半导体材料在军事用途上,主要是大幅提升武备的红外探测性能及其成像清晰度,而当前国际上武备九成以上均是以红外探测方式搜寻和发现目标的。在民用领域,未来主要应用于核医疗、放射源检测、无破损检测、核辐射探测、探温探源检测及夜视等领域、行业的设备、仪器的制造。其核心作用与意义在于更新迭代前述行业的设备、仪器的工艺、功能及性能,提升产业结构,助力国内这些行业同代等差参与国际竞争。更主要的是,碲锌镉半导体材料及器件可以提高核医疗、核辐射剂量、安检等设备仪器(如CT机、X光机、安检仪器等)功能与性能,降低放射源剂量,广泛惠及民众的医疗水平及健康。正因该材料在军事及民用领域具有诸多革新、颠覆性的功能与性能,国际上少数几个能生产制造的先进国家都将其列为战略性、管制性的产品,对我国进行技术与产品的双封锁。“而位于安徽省蚌埠市的承禹新材生产的综合质量参数优良、高良率、大尺寸的碲锌镉单晶棒及其晶片(包括全单晶圆片,这是属于首创性的高难度技术工艺,必将改变未来相关产业工艺),必将有力打破这种掣肘,实现国内供给,助推国内诸多相关行业设备、产品的更新升级,其意义重大、前景广阔,是国人创新与研发能力的一个有力例证。”半导体领域一权威人士说道。业内人士表示,碲锌镉单晶材料及晶片是制造室温X射线、γ射线、核辐射等探测器优异、先进的半导体材料,具有噪声低、暗电流低、热稳定性好、电阻率高、探测射线能量分辨率较高、带隙宽且可调、灵敏度高、计数率高、能量响应率高等诸多突出优点。其中,民用领域主要应用于核医疗、放射性安检、夜视、红外探测、核辐射探测、灾难搜救、探温探源、空间天文研究等设备、仪器上,军用领域可应用于导弹、卫星、战机、雷达、舰船、坦克、步兵战车、单兵作战等各类武器装备红外探测器及成像的材料。比如,在目前使用的CT机、X光机等医学检查中,以闪烁体探测器为核心部件的传统医疗成像设备,相比碲锌镉单晶材料做衬底的核医疗设备,在成像清晰度、扫描层隔精度、放射元素辐射量、成像时间等性能指标上差距甚大。而在应用碲锌镉单晶材料制造的X光机、CT机等各类核医疗探测、成像设备的核心部件中,不仅可实现从间接成像转向直接成像,而且扫描层隔更精微,成像更清晰,放射性元素剂量可以降低到原来闪烁体探测器剂量的三分之一,检测时间可以缩短为原来四、五分之一左右,同时还可以延展医疗检测的群体和适应症范围。据了解,2021年,蚌埠市水利局领导及蚌埠水利建设投资有限公司高层在对该项目经过多轮科学、严谨的求证、考察之后,果断决策、高效执行,最终力促碲锌镉单晶半导体材料项目花落珠城蚌埠。2021年8月,蚌埠水利建设投资有限公司与合肥达识新材料技术开发有限公司共同合作投资成立安徽承禹半导体新材料科技有限公司。该公司现已成为国内首批进行纯企业化、大规模化量产碲锌镉半导体材料的领跑者。“蚌埠水利建设投资有限公司是国有政策性投资公司,具有政策及资金方面的资源优势。合肥达识则拥有国内领先的技术工艺以及先进的经营管理水平和优秀的市场运营能力。双方真诚携手,相得益彰,优势互补,前景可期。”蚌埠水利建设投资有限公司冉凡荣董事长如是说。合肥达识新材料技术开发有限公司目前已拥有以碲锌镉单晶为代表的多项先进、成熟的第二代、第三代半导体和其它化合材料及芯片的生产制造技术与工艺。公司研发的化合材料包括碲锌镉、碳化硅、透明高阻薄膜、锑化镓、氮化镓、氟化钡、氟化钙、砷化镓、宝石级金刚石等。公司掌握的碳化硅和透明高阻薄膜技术工艺等则属于升级类别,不仅在产品性能质量、参数指标等方面显著领先,而且生产成本也成倍降低。
  • 美国升级对量子计算/半导体设备/GAAFET出口管制
    当地时间9月5日,美国商务部工业和安全局(BIS)在《联邦公报》上发布了一项临时最终规则(IFR),升级了对量子计算、先进半导体制造、GAAFET等相关技术的出口管制。具体来说,该IFR 涵盖了:量子计算、相关组件和软件;先进的半导体制造;用于开发超级计算机和其他高端设备的高性能芯片的环绕栅极场效应晶体管 (GAAFET) 技术;以及用于制造金属或金属合金部件的增材制造工具。1、量子计算相关:随着具有更多量子位的更大型的量子计算机的开发,控制电路必须在低温恒温器内移动以减少这些延迟。目前,传统CMOS器件的一般温度下限为-40°C(233K)。CMOS设计目前正在开发中,以适用于在4K或以下温度下工作,用于量子计算。出于这些原因,BIS在CCL中添加了3A901.a,以控制3A001.a.2中未指定的CMOS集成电路,这些电路设计用于在等于或低于4.5 K(-268.65°C)的环境温度下运行。这一补充附带了一份技术说明,主要限制“低温CMOS或低温CMOS集成电路。”量子计算项目中的一个关键功能是读取非常微弱的信号的能力。为了执行该功能,量子比特和信号放大器需要冷却到非常低的温度以抑制噪声。因此,BIS在CCL中添加了3A901.b,以控制在极低温度、指定频率和噪声系数参数下工作的参数信号放大器。还添加了一个注释和一个技术注释,说明“参数信号放大器包括行波参数放大器(TWPA)”和“参数信号功放也可称为量子限幅放大器(QLA)。”根据3A901.a规定的CMOS集成电路和3A901.b规定的参数信号放大器需要获得所有目的地的许可证。此外,量子计算芯片所需的低温晶圆探测设备(3B904)也被进一步限制。低温晶圆探测器的目标是扩大基于固态量子位和其他类型量子位的量子计算。低温量子器件、电子学和探测器的发展可以从低温晶片探测器提供的更好的器件特性中受益。某些低温晶片探测器将加快被测量子比特器件的测试和表征(大容量数据的收集)。这在开发过程中提供了一个明显的优势,传统上,低温测试需要更多的时间。出于这个原因,BIS认为,这些设备需要出口管制。因此,BIS正在CCL中添加ECCN 3B904,以控制指定的低温晶片探测设备。根据国家安全控制和许可证审查政策集的规定,ECCN 3B904中指定的项目对所有目的地的NS和RS进行控制。2、GAAFET及相关针对3nm以下制程所需要采用的GAAFET,BIS在通用许可证中增加了两项授权,以补充第736部分第4号通用命令的第1项,即GAAFET出口、再出口和转让(国内)到目前与美国工业合作的实体,目的地为EAR国家组A:5或A:6中指定的目的地,以及ECCN 3E905中指定的GAAFET“技术”和“软件”的视同出口和视同再出口到已受雇于实体的外籍员工或承包商,其最近的公民身份或永久居留权是国家组中指定的目标。另外,由于美国此前已经对GAAFET设计软件进行了出口管制,因此,与GAAFET相关的制造设备此次也一并受到了限制。3、半导体设备3B001用于制造半导体器件、材料或相关设备的设备,如下(见受控物品清单)及其“特殊设计”的“组件”和“配件”:基于列表的许可证例外(有关所有许可证例外的描述,请参阅第740部分)LVS:500,3B001.a.4、c、d、f.1.b、j至p中规定的半导体制造设备除外。GBS:a.3(使用气体源的分子束外延生长设备)、c.1.a(为各向同性干法蚀刻设计或修改的设备)、c.1.c(为各向异性干法蚀刻设计和修改的设备”)、.e(仅当连接到由3B001.a.3或.f控制的设备时才自动装载多腔中央晶片处理系统)、.f(光刻设备)和.q(为集成电路设计的“EUV”掩模和掩模,未在3B001.g中指定,并具有3B001.j中指定的掩模“基板空白”)除外。IEC:3B001.c.1.a、c.1.c和.q为是,见《出口管理条例》第740.2(a)(22)条和第740.24条。STA的特殊条件STA:许可证例外STA不得用于将3B001.c.1.a、c.1.c或.q运送到国家组a:5或a:6中列出的任何目的地(见EAR第740部分补充1)。受控项目清单:相关控制:另见3B903和3B991项目:a.设计用于外延生长的设备如下:a.1.设计或改装的设备,用于在75毫米或更长的距离内生产厚度均匀小于±2.5%的硅以外的任何材料层;注:3B001.a.1包括原子层外延(ALE)设备。a.2:金属有机化学气相沉积(MOCVD)反应器,设计用于化合物半导体外延生长具有以下两种或多种元素的材料:铝、镓、铟、砷、磷、锑或氮;a.3:使用气体或固体源的分子束外延生长设备;a.4:为硅(Si)、碳掺杂硅、硅锗(SiGe)或碳掺杂SiGe外延生长并且具有以下所有特性:a.4.a.多个腔室,并在工艺步骤之间保持高真空(等于或小于0.01 Pa)或惰性环境(水和氧气分压小于0.01帕);a.4.b.至少一个预清洁室,其设计用于提供表面处理装置以清洁晶片的表面;和a.4.c.外延沉积操作温度为685°c或以下;b.设计用于离子注入的半导体晶片制造设备,具有以下任何一项:b.1:[保留]b.2:被设计和优化为在20keV或更高的束能量和10mA或更大的束电流下工作,用于氢、氘或氦注入;b.3:直接写入能力;b.4:用于将高能氧注入加热的半导体材料“基板”的65keV或更高的束能量和45mA或更高束电流;或b.5:被设计和优化为在20keV或更高的束能和10mA或更大的束流下工作,用于将硅注入加热到600˚C或更高温度的半导体材料“基板”;c.蚀刻设备:c.1:设计用于干法蚀刻的设备如下:c.1.a.为各向同性干法蚀刻而设计或修改的设备,其最大“硅锗对硅(SiGe:Si)蚀刻选择性”大于或等于100:1;或c.1.b.为介电材料的各向异性蚀刻而设计或修改的设备,能够制造纵横比大于30:1、顶面横向尺寸小于100nm的高纵横比特征,并具有以下所有特征:c.1.b.1:具有至少一个脉冲RF输出的射频(RF)电源;和c.1.b.2:一个或多个切换时间小于300毫秒的快速气体切换阀;或c.1.c:为各向异性干法蚀刻而设计或修改的设备,具有以下所有特征;c.1.c.1:具有至少一个脉冲RF输出的射频(RF)电源;c.1.c.2:一个或多个切换时间小于300毫秒的快速气体切换阀;和c.1.c.3:带有二十个或更多可单独控制的可变温度元件的静电卡盘;c.2:设计用于湿化学处理的设备,其最大“硅锗对硅(SiGe:Si)蚀刻选择性”大于或等于100:1;注1:3B001.c包括“自由基”、离子、顺序反应或非顺序反应的蚀刻。注2:3B001.c.1.c包括使用RF脉冲激发等离子体、脉冲占空比激发等离子体、电极上的脉冲电压修饰等离子体、与等离子体结合的气体循环注入和净化、等离子体原子层蚀刻或等离子体准原子层蚀刻的蚀刻。4、增材制造设备(i.ECCN 2B910)BIS对ECCN 2D910和2E910中增材制造设备(2B910)的“技术”和“软件”的外国人实施视同出口和再出口管制。美国工业和安全局副部长艾伦埃斯特维兹在一份声明中表示:“今天的行动确保我们的国家出口管制与迅速发展的技术保持同步,并且在与国际伙伴合作时更加有效。”“协调我们对量子和其他先进技术的控制,将使我们的对手更难以以威胁我们集体安全的方式开发和部署这些技术。”有什么改变?该规则在商务管制清单中增加了新的出口管制分类编号(ECCN),涵盖一般产品类别和能力,而不是特定产品。这基本上意味着,如果你想从美国出口某些类型的产品(已列入或已添加到管制清单的产品),你可能需要获得美国政府的许可。这让美国有能力限制向某些国家出口某些类型的技术。例如,管制清单上的新 ECCN B910 指定了与合金制造相关的套件,因为这些物质用于生产导弹、飞机和推进系统的零件。另一个新的 ECCN 是“3A904 低温冷却系统和组件”,重点关注“与研究具有大量物理量子比特的量子系统相关的项目”。此外,还有在ECCN 3E905中对GAAFET增加了两项授权要求。这些规则增加了 18 个 ECCN,并更新了 9 个现有 ECCN。这使美国能够与其他国家保持步调一致,主要限制向俄罗斯和伊朗等国输送装备。2023年美国国会研究服务处报告指出,与其他政府协调出口管制对于确保此类努力取得成效至关重要。该报告称:“协调对于旨在阻止或延迟外国采购某些商品或技术的政策的有效性至关重要。如果商品或技术很容易从外国获得,这种控制措施的效果可能会降低。”例如,在数年之前美国主要通过将一些企业列入“实体名单”进行限制。然而,美国随后认识到,在没有国际合作伙伴的协调下,这一举措收效有限。因此,美国商务部工业和安全局于2022年10月宣布新的出口管制措施,旨在遏制中国获取先进半导体技术。随后,在2023 年,美国、日本和荷兰这三个领先的芯片制造国同意协调努力,阻止中国获得先进的芯片技术。BIS最新出口管制似乎是加强与盟友合作的进一步例子。美国商务部负责出口管理的助理部长西娅罗兹曼肯德勒 (Thea D. Rozman Kendler) 在一份声明中表示:“保护我们国家安全的最有效方式是与志同道合的合作伙伴一起制定和协调我们的管控措施,今天的行动表明了我们在制定此类管控措施以实现国家安全目标方面的灵活性。”她还补充说,值得信赖的合作伙伴可以享受许可豁免。 内容转自:旺材芯片,本文所用视频、图片、文字如涉及作品版权问题,请联系小编进行处理。谢谢!联系我们 -欢迎前来咨询 竭诚为您服务-上海市高新技术企业上海市专精特新企业完善的半导体领域微纳米实验室测试方案集成商
  • 如何在半导体红海“卷”品质?
    关于半导体制造半导体制造过程中,如果遭到污染,很容易造成晶片内电路功能的损坏,因此清洗工艺贯穿整个制造过程。电子级异丙醇(EIPA)是一款优秀的清洗剂,在高科技高精度要求的产业(如:芯片、液晶、磁头)精密电子元器件的超净清洗制程中起到了非常关键的作用。EIPA纯度要求越来越高电子工业配套的精细化工材料具有品种多、质量高、用量小、纯度要求苛刻等特点,对电子产品的性能影响巨大。近年来半导体市场需求快速增长,国内半导体企业蓬勃发展,为保持产品性能稳定,对作为清洁剂使用的EIPA纯度要求也越来越高,每一批次都要经过几轮入厂质检才能投入使用。超高纯度的清洗剂是高品质半导体产品的基础保障。目前全球范围内EIPA行业领先的企业,能把长碳链杂质做到ppb级别(10的负9次方,相当纳克级);金属元素杂质做到ppt级别(10的负12次方,相当皮克级)。为了保持精细化工原料的纯度要求,不管是原料制造商还是下游用户入厂质检都有严格的品控规范,要求避免任何可能存在污染的环节。如何达到电子行业严苛的污染物检出限要求?又如何在质检环节避免人工转移造成的污染?这是全球半导体企业共同难点,越高的纯度决定了更大的市场占有率。如何借助技术满足EIPA纯度要求?使用Genevac Rocket离心浓缩仪对大体积EIPA进行浓缩,用以放大样品内的杂质信号,搭配SG套装,避免二次转移,直接将浓缩后的微量样品收集到GC进样小瓶中,使得后续进入分析设备可以检测出更准确的数据。英国 Genevac Rocket蒸发系统可多位处理,自动平行的处理大体积的溶剂蒸发。● 一次能蒸发6×450ml 溶剂,最大可处理5L;● 加热速度快,效率高;● 采用Dri-pure技术防爆沸,防止交叉污染;● 能将样品直接定量浓缩到GC小瓶中,蒸发停止后,可以直接将GC瓶取出,样品无需进行二次转移。如果你对上述产品或方案感兴趣,欢迎随时联系德祥科技可拨打热线400-006-9696Genevac英国Genevac是德祥集团资深合作伙伴之一。英国Genevac公司成立于1990年,隶属SP Scientific旗下,一直专注于研究和生产各种离心蒸发浓缩设备,其产品广泛应用于生命科学、制药、化学、分析等领域。德祥科技德祥科技有限公司成立于1992年,总部位于中国香港特别行政区,分别在越南、广州、上海、北京设立分公司。主要服务于大中华区和亚太地区——在亚太地区有27个办事处和销售网点,5个维修中心和2个样机实验室。30多年来,德祥一直深耕于科学仪器行业,主营产品有实验室分析仪器、工业检测仪器及过程控制设备,致力于为新老客户提供更完善的解决方案。公司业务包含仪器代理,维修售后,实验室咨询与规划,CRO冻干工艺开发服务以及自主产品研发、生产、销售、售后。与高校、科研院所、政府机构、检验机构及知名企业保持密切合作,服务客户覆盖制药、医疗、商业实验室、工业、环保、石化、食品饮料和电子等各个行业及领域。2009至2021年间,德祥先后荣获了“最具影响力经销商”、“年度最佳代理商“、”年度最高销售奖“等殊荣。我们始终秉承诚信经营的理念,致力于成为优秀的科学仪器供应商,为此我们从未停止前进的脚步。我们始终相信,每一天都在使这个世界变得更美好!
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制