当前位置: 仪器信息网 > 行业主题 > >

半导体光源

仪器信息网半导体光源专题为您整合半导体光源相关的最新文章,在半导体光源专题,您不仅可以免费浏览半导体光源的资讯, 同时您还可以浏览半导体光源的相关资料、解决方案,参与社区半导体光源话题讨论。

半导体光源相关的资讯

  • SGS与国家半导体光源产品质检中心达成战略合作
    2011年11月18日,全球领先的检验、鉴定、测试和认证机构SGS通标标准技术服务有限公司(下简称SGS)和国家半导体光源产品质量监督检验中心(DQT)签订战略合作协议,携手推出华南地区首个集国内外认证、检测于一体的、完整的LED质量技术服务平台。   该平台将立足东莞、辐射广东,为华南地区客户提供专业、便捷的LED检测认证服务,有力推动中国LED行业的持续升级发展。SGS中国区消费电子事业部总监关俊超先生和国家半导体光源产品质量监督检验中心的谷历文主任出席了签字仪式并致辞。      SGS和国家半导体光源产品质量监督检验中心(DQT)签订战略合作协议   作为中国LED产业的重要基地,东莞市的LED产业预计到2012年将达到250亿元规模。半导体照明(LED)已列为东莞市“十二五”规划八大战略性新兴产业之一。面对产业规模的不断扩张,如何提高技术创新、强化产品质量控制成为LED企业的转型升级之道。此次合作将为东莞及周边地区的LED企业在“家门口”提供世界级的品控和认证服务,帮助他们缩短检测周期,降低认证成本,在激烈的国际竞争中抢占先机。   “LED产业作为全球新兴产业,各国政府正纷纷加紧制定LED技术法规、标准,特别是欧美市场对LED产品的性能、安全和能效设置了更高的准入门槛,”SGS中国区消费电子事业部总监关俊超先生表示,“继SGS在厦门成功设立LED质检中心后,公司与国家半导体光源产品质量监督检验中心强强联手,再度拓宽服务能力,正是迎合了中国LED企业对于专业的‘一站式’检测认证服务的迫切需求。凭借全球化网络,SGS深谙各国LED法规、指令,可协助广东地区LED企业快速应对市场变化,打开国际市场的大门。”   “我们欣喜地看到东莞和整个广东省的LED产业链正日趋完善,但企业的整体技术实力和产品质量依然是制约行业发展的主要瓶颈,”国家半导体光源产品质量监督检验中心的谷历文主任表示,“通过战略合作,SGS逾百年的国际品牌和我们领先的检测技术实现优势互补,双方将共同助力广东地区的LED企业提高自主研发能力,推动广东LED产业的集聚发展。”   签字仪式结束后,SGS和国家半导体光源产品质量监督检验中心共同举办了LED照明产品技术研讨会,同与会的广东省LED企业代表就LED照明产品欧规安全、电磁兼容(EMC)测试要求解析以及LED照明产品检测技术分析等方面进行了深入的交流。据悉,双方今后还有望在音视频及消费类电子产品领域开展更多的检测认证合作项目。   国家半导体光源产品质量监督检验中心是我国华南地区的国家级LED检测实验室、广东省LED光源产品标准化技术委员会秘书处承担单位,近年还承担了广东省绿色照明示范城市标杆指数测试工作。中心面向我国LED行业、企业提供集标准研发、实验室检测、远程检测、产品认证、标准修制定、标准验证于一体的创新性、立体式检测技术服务。   作为公正客观的第三方检验、鉴定、测试和认证服务的领导者和创新者,SGS始终以提升人类健康、安全及环保为己任,致力于为各个供应链的可持续发展提供专业化解决方案。随着市场分工的不断细化与竞争的加剧,企业所面临的市场环境日趋复杂,SGS将为企业提供定制化认证服务,可持续发展报告验证、服务认证、风险管理、节能减排、降低碳排放以及培训服务,在提高企业生产效率的同时增强风险管理能力,确保企业的可持续发展。   自1991年在北京成立以来,SGS在中国取得了长足的发展,每年保持超过两位数的业务增长速度,服务网络遍及全国,目前其50多个分支机构几乎覆盖了所有的省会城市,服务能力覆盖农产、矿产、石化、工业、消费品、汽车、生命科学等多个行业的供应链上下游。扎根中国20年,作为政府必不可少的补充力量,SGS发挥了其公正、独立第三方机构的作用,切实帮助提升企业产品质量、优化管理流程,是近年来中国制造业迅速崛起的重要推手。凭借全球网络以及优秀的本土团队,SGS将秉承“永续发展之道”,持续致力于以专业服务推动经济、环境和社会的和谐共赢。
  • 东莞获批建国家半导体光源产品监督检验中心
    今后东莞LED企业检测可在本地完成啦。记者从7月29日召开的照明用LED系列国家标准宣贯、产品CCC认证和技能认证暨技术研讨会上获悉,本月东莞质检中心已获批筹建国家半导体光源产品监督检验中心,预计一年后建成。   7月29日,全国照明电器标准化技术委员会和中国质量认证中心在东莞联合召开了照明用LED系列国家标准宣贯会、产品CCC认证和节能认证暨技术研讨会。记者从研讨会上获悉,本月东莞质检中心成功获批筹建国家LED产品监督检验中心(广东),一年后可建成。东莞质检中心有关负责人称,“这意味着东莞市推进LED产业发展工作有了加速器。”   该负责人表示,根据国家授权,该检验中心可检测的范围包括LED照明、LED显示、LED元器件等。目前,东莞共有好几百家生产LED产品的企业。此前,东莞生产LED产品的企业如果要检测其产品,必须前往北京、上海、广州等地检测。而该中心获批建成后,这些企业可在本地检测,既可以享受到便捷的服务,又可以降低检测成本。据了解,该检验中心将服务于广东及周边地区的企业。
  • 佳能推出适合高功率半导体的光刻机
    日本佳能正通过光刻机加快抢占高功能半导体市场。佳能时隔7年更新了面向小型基板的半导体光刻机,提高了生产效率。在用于纯电动汽车(EV)的功率半导体和用于物联网的传感器需求有望扩大的背景下,佳能推进支持多种半导体的产品战略。目标是在三大巨头垄断的光刻机市场上确立自主地位。佳能将于2021年3月发售新型光刻机“FPA-3030i5a”,该设备使用波长为365纳米的“i线”光源,支持直径从2英寸(约5厘米)到8英寸(约20厘米)的小型基板。分辨率为0.35微米,更新了测量晶圆位置的构件和软件。与以往机型相比,生产效率提高约17%。佳能的光刻机新机型调整了测量晶圆位置的“校准示波器”的构成,与曝光工序分开设置了测量单元。通过同时进行纵横两个方向的测量而缩短了时间,并通过扩大测量光的波长范围,实现了对难以识别标记的多层基板和透明基板的支持,而且能够识别出晶圆背面的标记。除了目前主流的硅晶圆之外,新机型还可以提高小型晶圆较多的化合物半导体的生产效率。包括功率器件耐压性等出色的碳化硅(SiC),以及作为5G相关半导体材料而受到期待的氮化镓(GaN)等。随着纯电动汽车和物联网的普及,高性能半导体的需求有望增加。在半导体光刻机领域,荷兰ASML和日本的佳能、尼康3家企业占据了全球9成以上的份额。在促进提升半导体性能的精细化领域,可使用短波长的“EUV”光源的ASML目前处于优势地位。佳能光学设备业务本部副业务部长三浦圣也表示,佳能将根据半导体材料和基板尺寸等客户制造的半导体种类来扩大产品线。按照客户的需求,对机身及晶圆台等平台、投影透镜、校准示波器三个主要单元进行开发和组合,建立齐全的产品群。佳能还致力于研发“后期工序”(制作半导体芯片之后的封装加工等)中使用的光刻机。2020年7月推出了用于515毫米×510毫米大型基板的光刻机。以此来获取把制成的多个芯片排列在一起、一次性进行精细布线和封装的需求。佳能还致力于“纳米压印”(将嵌有电路图案的模板压在硅晶圆的树脂上形成电路)光刻设备的研发。据悉还将着力开展新一代生产工艺的研发。
  • 日本半导体禁令,限制了啥?
    日本经济产业省(以下简称为:“经产省”)于3月31日提出了计划“新增23类禁止出口的尖端半导体生产设备”的政令,并计划在今年五月修改政令、7月份正式实施。如今正处于收集公众意见(Public Comment)的阶段。当日本企业向不属于“一般许可(General License)”对象范围的同盟国、友好国家的地区和国家出口相关半导体设备时,需要单独申请、获得政府的许可。在当日的记者招待会上,经产省西村大臣明确表示:“这不是一项针对某个国家的政令”、“这不是紧跟美国步伐的政令”、“并不是完全禁止出口,在调查了出口对象明确没有军事用途的可能性后,也可以予以出口许可”。但西村大臣的发言明显没有得到相关人员的认可。据日本经产省表示,日本东京电子株式会社、尼康株式会社、SCREEN株式会社、Lasertec株式会社等十家尖端半导体生产设备厂家、检测设备厂家会成为此次政令影响的对象,“对日本半导体设备厂家的影响很轻微”(经产省)。日系各半导体生产设备厂家已经开始详细调查本公司哪些设备属于限制范围、以及对业绩的影响程度如何。但是,有声音指出日本产经省的法律文书难以理解,该文书虽然涵盖了详细的相关技术的细节,但文书晦涩难懂,此外,还涵盖了一些非尖端技术相关的内容。于是,笔者按照半导体的制程,对23个品种(实际上是30类,不仅包含设备,还包含半导体制程中的护膜(Pellicle)类)进行了分类。本文笔者的记录顺序不同于日本经产省的记录顺序。热处理相关(1类)在0.01Pa以下的真空状态下,对铜(Cu)、钴(Co)、钨(W)(任何一种元素)进行回流(Reflow)的“退火设备(Anneal)”。检测设备(1类)EUV曝光方向的光掩膜版(Mask Blanks)的检测设备、或者“带有线路的掩膜”的检测设备。曝光相关(4类)1.用于EUV曝光的护膜(Pellicle)。2.用于EUV曝光的护膜(Pellicle)的生产设备。3.用于EUV曝光的光刻胶涂覆、显影设备(Coater Developer)。4.用于处理晶圆的步进重复式、步进扫描式光刻机设备(光源波长为193纳米以上、且光源波长乘以0.25再除以数值孔径得到的数值为45及以下)。(按照笔者的计算,尼康的ArF液浸式曝光设备属于此次管控范围,干蚀ArF以前的曝光设备不在此范围。)干法清洗设备、湿法清洗设备(3类)1.在0.01Pa以下的真空状态下,除去高分子残渣、氧化铜膜,形成铜膜的设备。2.在除去晶圆表面氧化膜的前道处理工序中所使用的、用于干法蚀刻(Dry Etch)的多反应腔(Multi-chamber)设备。3.单片式湿法清洗设备(在晶圆表面性质改变后,进行干燥)。蚀刻(3类)1.属于向性蚀刻 (Isotropic Etching)设备,且硅锗(SiGe)和硅(Si)的选择比为100以上的设备;属于异向性(Anisotropic Etching)刻蚀设备,且含高频脉冲输出电源,以及含有切换时间不足300m秒的高速切换阀和静电吸盘(Chuck)的设备。2.湿法蚀刻设备,且硅锗(SiGe)和硅(Si)的蚀刻选择比为100以上。3.为异向性蚀刻设备,且蚀刻介电材料的蚀刻尺寸而言,蚀刻深度与蚀刻宽度的比率大于30倍、而且蚀刻幅宽度低于100纳米。含有高速脉冲输出电源、切换时间不足300m秒的高速切换阀的设备。成膜设备(11类)1.如下所示的各类成膜设备。*利用电镀形成钴(Co)膜的设备。利用电镀形成钴(Co)膜的设备。利用自下而上(Bottom-up)成膜技术,填充钴(Co)或者钨(W)时,填充的金属的空隙、或者接缝的最大尺寸为3纳米以下的CVD设备。在同一个腔体(Chamber)内进行多道工序,形成金属接触层(膜)的设备、氢(或者含氢、氮、氨混合物)等离子设备、在维持晶圆温度为100度一一500度的同时、利用有机化合物形成钨(W)膜的设备。可保持气压为0.01Pa以下真空状态(或者惰性环境)的、含多个腔体的、可处理多个工序的成膜设备,以及下面的所有工序中所使用的金属接触层成膜设备:(1)在维持晶圆温度为20度一一500度的同时,利用有机金属化合物,形成氮化钛层膜或者碳化钨层膜的工艺。(2)在保持晶圆温度低于500度的同时,在压力为0.1333Pa一一13.33Pa的范围内,利用溅射工艺,形成钴(Co)层膜的工艺。(3)在维持晶圆温度为20度一一500度的同时,在压力为133.3Pa一一13.33kPa的范围内,利用有机金属化合物,形成钴(Co)层膜的工艺。利用以下所有工艺形成铜线路的设备。(1)在保持晶圆温度为20度一一500度的同时,在压力为133.3Pa一一13.33kPa的范围内,利用有机金属化合物,形成钴(Co)层膜、或者钌(Ru)层膜的工艺。(2)在保持晶圆温度低于500度的同时,在压力为0.1333Pa一一13.33Pa的范围内,利用PVD技术,形成铜(Cu)层膜的工艺。利用金属有机化合物,有选择性地形成阻障层(Barrier)或者Liner的ALD设备。在保持晶圆温度低于500度的同时,为了使绝缘膜和绝缘膜之间不产生空隙(空隙的宽度和深度比超过五倍,且空隙宽度为40纳米以下),而填充钨(W)或者钴(Co)的ALD设备。2.在压力为0.01Pa以下的真空状态下(或者惰性环境下),不采用阻障层(Barrier),有选择性地生长钨(W)或者钼(Mo)的成膜设备。3.在保持晶圆温度为20度一一500度的同时,利用有机金属化合物,形成钌(Ru)膜的设备。4.“空间原子层沉积设备(仅限于支持与旋转轴晶圆的设备)”,以下皆属于限制范围。(1)利用等离子,形成原子层膜。(2)带等离子源。(3)具有将等离子体封闭在等离子照射区域的“等离子屏蔽体(Plasma Shield)”或相关技术手法。5.可在400度一一650度温度下成膜的设备,或者利用其他空间(与晶圆不在同一空间)内产生的自由基(Radical)产生化学反应,从而形成薄膜的设备,以下所有可形成硅(Si)或碳(C)膜的设备属于限制出口范围:(1)相对介电常数(Relative Permittivity)低于5.3。(2)对水平方向孔径部分尺寸不满70纳米的线路而言,其与线路深度的比超过五倍。(3)线路的线距(Pitch)为100纳米以下。6.利用离子束(Ion Beam)蒸镀或者物理气相生长法(PVD)工艺,形成多层反射膜(用于极紫外集成电路制造设备的掩膜)的设备。7.用于硅(Si)或者硅锗(SiGe)(包括添加了碳的材料)外延生长的以下所有设备属于管控范围。(1)拥有多个腔体,在多个工序之间,可以保持0.01Pa以下的真空状态(或者在水和氧的分压低于0.01Pa的惰性环境)的设备。(2)用于半导体前段制程,带有为净化晶圆表面而设计的腔体的设备。(3)外延生长的工作温度在685度以下的设备。8.可利用等离子技术,形成厚度超过100纳米、而且应力低于450MPa的碳硬掩膜(Carbon Hard Mask)的设备。9.可利用原子层沉积法或者化学气相法,形成钨(W)膜(仅限每立方厘米内氟原子数量低于1019个)的设备。10.为了不在金属线路之间(仅限宽度不足25纳米、且深度大于50纳米)产生间隙,利用等离子形成相对介电常数(Relative Permittivity)低于3.3的低介电层膜的等离子体成膜设备。11.在0.01Pa以下的真空状态下工作的退火设备,通过再回流(Reflow)铜(Cu)、钴(Co)、钨(W),使铜线路的空隙、接缝最小化,或者使其消失。据日经报道,针对这个公告,日本一家大型半导体制造设备生产企业的相关负责人感到困惑,他表示:“我们做出了各种各样的设想,但比预想的更难理解”。生产超微细加工使用的“EUV曝光”相关检测设备的Lasertec指出,“如何操作还存在不明朗的部分”,“将从相关省厅和业界团体等收集信息,采取应对措施”。英国调查公司Omdia的南川明指出:“各企业的模式不同,详查设备是否用于尖端产品是一项非常繁杂的工作”,并表示“日本厂商有可能会根据自己的判断停止业务”。考虑到日本在设备领域的影响力,这个限制带来的影响值得我们高度重视。作者:张竞扬-摩尔精英CEO链接:https://xueqiu.com/4927163759/246457921来源:雪球著作权归作者所有。商业转载请联系作者获得授权,非商业转载请注明出处。风险提示:本文所提到的观点仅代表个人的意见,所涉及标的不作推荐,据此买卖,风险自负。
  • 快速精确的半导体质控和分析的新技术---SPV
    从初始的晶圆到最终器件,半导体的分析一直被被认为是专家的工作,很少有分析工具可以直接作为生产过程中材料质量好坏的“决策者”。Freiberg Instruments全新的SPV是一款真正的生产工具,因为它可以在不影响工作流程速度的情况下完成工作。SPV检查生产中使用的材料的关键产率参数:无论是硅,碳化硅或其他半导体或光活性材料。SPV工具测量材料在被一个或多个光源激发时的时间分辨表面光电压响应。光源根据材料的电子特性和材料中可能与产量损失相关的已知缺陷来选择。例如,在单晶硅晶圆中,可能有许多缺陷会导致器件加工过程中的产率损失。单晶硅晶圆可能含有来源于晶体生长周期或不同设备加工步骤的高浓度氮。氮原子可以在原本完美的硅晶体中形成一个取代对,导致在硅片中形成了不好的电子状态,这可以严重影响MOS栅结构的性能。SPVcheck工具不仅可以测量这种缺陷的存在,而且还可以测量它们的近似密度。通过这种方式,晶圆批次内和晶圆批次之间的变化可以通过设备/工具到主机的接口协议进行监控和报告,并用于SPC目的。SPV是一个非常通用的工具,可以通过多种方式进行配置。它几乎可以用于任何光活性材料。可测量纳秒时间分辨的表面光电压信号,具有良好的信噪比和5-6个数量级的尺度。一次测量大约需要15-30秒,包括信号分析环路。可以输出符合各种标准,以及被测材料状态的完整测量报告。符合自动化材料处理系统(AMHS)法规和SEMI标准。【相关应用】金刚石中的电子跃迁宽禁带半导体体极化现象的非接触探测氧化镓的表征光催化材料BiVO4的研究光催化材料(TiO2)的研究与监测SiC、GaN和AlGaN的非接触表征表面光电压光谱-功率半导体研究4H-SiC的缺陷表面光电压光谱-光化学/光催化水裂解研究3C-和4H-SiC的缺陷和电荷动力学【相关产品】SPVmapSPSresearch更多有关SPV产品和应用的资讯,请联系弗莱贝格仪器(上海)有限公司!
  • 第11届半导体设备年会(CSEAC 2023 )圆满闭幕
    第11届CESAC半导体设备年会圆满闭幕展会回顾2023年8月11日,第十一届中国半导体设备年会(CSEAC 2023)在无锡太湖国际博览中心完美落幕。众星联恒受邀参加此次展会,并与半导体行业同仁相聚于此。此次大会,以“协力同芯抢机遇、集成创新造设备”为主题,围绕“中国半导体设备和材料与核心部件产业链建设”展开相关活动。参展商近400家,包括前道设备、后道设备厂商以及核心部件厂商。众星联恒致力于为国内 EUV/X 射线半导体检测、量测设备厂商及科研用户提供业界一流的 EUV/X 射线核心部件及解决方案,我们在现场展示了部分EUV产品,同时也与众多半导体行业同仁进行了深入的沟通,不仅交流了各种 EUV表征手段的解决办法,比如 EUV 光源表征方案、EUV光刻掩膜版检测方案等,还共同探讨了未来的合作机会和发展方向。现场直击 8月11日,展会圆满落幕。我们由衷的感谢大家莅临指导。未来,我们也将继续精进产品,为中国半导体行业持续稳定发展创造无限可能!EUV 相关产品阅览:TEUS LPP光源:紧凑型高亮极紫外光源介绍及应用&blacksquare 晶圆检测&blacksquare 极紫外扫描光刻工艺链中光学器件检测&blacksquare EUV光刻掩膜版检测科研级CCD相机:德国greateyes科研级、深度制冷、高灵敏度CCD相机 Hi, LOTTE! | 全新封装设计,深度制冷至-100℃,全帧转移内真空相机CCD相机&blacksquare 极紫外光刻&blacksquare 软 X 射线光谱&blacksquare 等离子体发射光谱&blacksquare 高次谐波(HHG)光谱13.5nm EUV多层膜镜片:订货即发,三日内送达:高品质13.5nm极紫外/EUV多层膜镜高分辨间接探测CCD/sCMOS相机:低至亚微米分辨!高分辨、高灵敏度EUV/X射线CCD/sCMOS相机EUV复制镜:以创新为先导,聚焦EUV极紫外/X射线光学器件的研发- 捷克RITE多毛细管:利用多毛细管X光透镜测量镀层厚度-半导体封装的检测菲涅尔波带片:新突破!复合折射透镜和菲涅尔波带片定制组合实现宽能量范围X射线复消色差聚焦光谱仪:EUV光刻测量 XUV/VUV/X-ray光谱仪、单色仪白光光源:可见光波段产品上新|白光光源 薄膜和关键尺寸(CD)计量TOP-UNISTAR关于众星联恒
  • 闲聊半导体行业和芯片人的”黑话“(中)
    上篇聊的偏粗线条,这篇会聊一些更细节的半导体“黑话”,还有就是这些半导体独立王国的“语言”和我们“正常人”说的话到底有什么关联,毕竟半导体行业不是凭空出世的,芯片人更不是“空降兵”,这个细分领域的技术和应用是有其根基和土壤的; “正常”电镜人里做冷场发射电镜CFESEM的,特别是做氦离子显微镜HIM的,都熟悉“Anneal”这个术语,指的是通过瞬间加热灯尖驱逐吸附的气体分子,增强钨单晶的活性以便于灯尖切削,俗称“重生”;半导体对“Anneal”的”黑话“称呼差别比较大叫回火,又称退火,也是对wafer进行热处理的一种手段;更广义地讲,集成电路IC工艺中所有的在氮气等不活泼气氛中进行的热处理的过程,都可以称为退火;”退火“与“重生”异曲同工,目的一是激活杂质,使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载流子,起到掺入杂质Doping的作用;二是消除损伤,离子植入Ion Implatation后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁区;由于进入底材Substrate中的离子行进中将硅原子撞离原来的晶格位置,而导致的晶体的特性改变就叫损毁;而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、时间差异来控制全部或局部的活化植入离子的功能。氧化制程中也有回火工序,主要是为了降低SiO2的晶格结构。退火方式有传统的炉Furnace退火,还有更先进的快速退火:包括脉冲激光法、连续波激光、非相干宽带频光源;如卤光灯、电弧灯、石墨加热器、红外设备等;“Furnace”在透射电镜TEM样品加热杆Heating holder上很常见,就是“坩埚”。 这里提到的离子植入Ion Implantation对熟悉FIB的“正常人“并不陌生,传统聚焦离子束利用Ga+离子束进行切割Milling、截面Sectioning、或是在气体注入系统GIS的配合下做刻蚀Etching,离子束在不同的气体配合下,可以做到选择性刻蚀Selective Etching,或增强型刻蚀Enhanced Etching;在FIB中,掺杂物Dopant对样品的掺杂Doping,我们是不希望看到的,注入到样品里的Ga+会被视为对样品的污染Contamination;而晶圆制造中的离子植入,是在原本本征的半导体里主动的植入或通过扩散的方法将其它的原子或离子掺杂进去,达到改变其电性能的方法,这里,我们是希望看到样品wafer性能发生改变的; 甚至,当一般植入的离子分布达不到要求时,还会加码,通过进炉管Furnace加高温的方式将离子进行扩散Diffusion,以达到我们对离子分布的要求,同时对离子植入造成的缺陷进行修复;这里涉及到的设备叫扩散炉Diffusion furnace;此工序就是在扩散炉管内通以饱和的杂质蒸汽,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入扩散,快速完成固态扩散需要约数亿年才能完成的任务;Diffusion虽然能较精确地选择杂质Dopant的数量,但受限于离子能量,无法将杂质驱入芯片更深(um级别)的区域了;因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除;此方法就称为驱入Drive-In;“Drive-in“在这里就不是那种能直接开车进去看电影的露天电影院了;”Drive-In”工艺中,不再加入半导体杂质总量,只将表面的杂质往半导体内更深入的推进;在驱入时,常通入一些氧气﹒因为硅氧化时,会产生一些缺陷,如空洞Vacancy;这些缺陷会有助于杂质原子的扩散速度;电镜中用到的超高真空泵-离子泵Ion Getter Pump,有和上面说的半导体的“Drive-in”手段类似,通过将杂质气体分子驱入离子泵的钛合金板,逐步达到提升真空的功效;顺便一句,半导体制程中用到的”特种气体“,”黑话“叫”特气“。 还记得”正常“电镜上熟悉的用来做表层污染物去除的Plasma cleaner等离子清洗吗?电子枪和电子光学镜筒装配前会用来轰击清洁样品表面,避免超高真空下的发气outgassing,俗称”轰击台“;晶圆制程中的这类设备就叫Arc Chamber弧光反应室,和“轰击台”原理一样,事实上设备就是利用一个直流式的电浆发生器,操作电流产生的弧光电浆来清洁抛光晶圆表面;这里的电浆就是又一句半导体“黑话”,正常人一般叫它Plasma,或等离子体;电浆Plasma是人类近代物化史上重大的发现之一,指的是一个遭受部分离子化的气体,气体里面的组成有各种带电荷的电子,离子,及不带电的分子和原子团等;电浆发生器的两金属极板上加上直流电压而产生的电浆我们就叫它直流电浆DC Plasma了; 提到电浆,就顺便说下晶圆的镀膜Deposition环节;相比较使用化学蒸气沉积CVD Chemical Vapor Deposition方法的镀膜,使用物理蒸气沉积法PVD的镀膜工艺在高制程中更常被用到;PVD制程中,脱离电浆的带正电荷离子,在暗区的电场的加速下,获得高能量,当离子与阴电极产生轰击之后,基于能量传递的原理,离子轰击除了会产生二次电子以外,还会把电极表面的原子给"打击"出来,称为sputtering溅射;电极板加直流电压称为DC Sputtering直流溅射;阴极导电材料,因为像“靶子“被电浆打击,被称为靶材Target;说到这里,我嘘了一口气;终于,半导体人也不是必须只讲自己人的”黑话“,他们跟”正常人“一样,还是能讲些”人话“的;需要溅射镀膜比较细腻的可以用磁控DC溅镀机:为了使离子在往金属靶表面移动时获得足够的能量,除了提高极板间的电压外,还必须使离子在阴极暗区内所遭受的碰撞次数降低,就必须降低溅渡的压力,越低越好,以增长离子的平均自由行程;这样一来,单位体积内的气体分子数降低,使得电浆里的离子浓度也降低,导致溅渡薄膜的沉积速率变慢,整体镀膜更加细腻;“正常”电镜实验室中,为了增加样品导电性,我们会去给样品镀一层金膜,这里的“镀金仪”就是缩小版的Fab里的PVD设备了;除了“镀金”,我们还经常会去“镀碳”;碳膜比起金膜来,可以增强样品表层导电性,但不会影响到“打能谱”,标定样品的化学元素信息;所以生物样品常用镀金,材料样品更愿意镀碳,就是这个道理; 我们利用Sputtering溅射技术镀金,镀碳的技术则为Evaporation蒸镀;Fab里的采用蒸镀技术的PVD设备,就是将蒸镀源放在坩埚Furnace里加热,当温度升高到接近蒸镀源的熔点附近时,原本处于固态的蒸镀源的蒸发能力将会特别强,利用这些被蒸发出来的原子,就可在附着在离其不远处的芯片Wafer表面上,形成薄膜式的沉积层,这种方法就是蒸镀Evaporation了;随着用以隔离之用的场氧化层FOX,CMOS电晶体,金属层及介电层等构成IC的各个结构在Chip芯片上建立之后,芯片的表面也将随之变得上下凸凹不平,致使后续制程变得更加困难;所以,传统半导体制程就开始执行芯片表面平坦化的技术了;以介电层SiO2的平坦化为例,有高温热流法、各种回蚀技术及旋涂式玻璃法;当 超大规模集成电路 VeryLarge Scale Integration- VLSI的制程推进到0.35um以下后,上面这些技术就不能满足制程需求了,故而也就产生了Chemically Mechanical Polishing化学机械研磨法CMP制程;所谓CMP就是利用在表面布满研磨颗粒的研磨垫Polishing pad,对凸凹不平的晶体表面,藉由化学助剂Reagent的辅助,以化学反应和机械式研磨等双重的加工动作,来进行其表面平坦化的处理;看到这里,您不难看出,这个半导体的CMP不就是我们“正常”实验室人经常操作的电化学抛光Electro-ChemicalPolishing制样流程吗?怎么什么进了半导体应用的门槛就非得改名,开说“黑话“呢?由于半导体芯片行业薪酬普遍高出“正常“行业一头,导致在这个行业里的从业人沾沾自喜;笔者接触过一位有幸掌握了CMP操作技术的年轻人,”下界“来做电镜售后,满脑子都是半导体厂里的条条框框,动辄fingerpointing这个那个流程不够详细规范,无法操作,责任不明等等,俨然就是一位被半导体惯坏Spoiled的巨婴,”下凡“之后连正常思考都不会了;这里例子让我知道了即使在光鲜的半导体行业里,也会培养出只知规程,而实际却”四体不勤,五谷不分“的庸才。通篇看到这里,要是您要还没说“服了You”的话,那下面我们再接着多看多学一堆半导体的”黑话“吧;一片大硅片、OR晶圆,即Wafer上有许多相同的方形小单位,这些小单位叫Die,大家在这里肯定都理解这不是那个常用动词了吧?不过学材料的同学,你们知道它“黑话“叫“晶粒“吗?这回不淡定了吧;同一片上的每个Die晶粒都是相同的构造,具有相同的功能,每个晶粒经切割封装后,就制成了一颗颗我们日常生活中常用的IC芯片了,所以每一个大晶圆片所能造出的小IC数量是很可观的;同样地,如果因制造的疏忽而产生的缺陷,往住波及到同一批次Lot里成百成千个产品;说到这里,各位看官也明白为什么晶圆厂都喜欢300mm,就是12英寸的大硅片了吧;没错,一次出货出的多,省耗材省时间,人人喜大普奔;这里再来个插科打诨,Die的复数这里“黑话“叫Dice;没错,就是我们耍的”骰子“一个词;让我们期盼这来之不易的晶圆片不会轻易地godie,好不容易划片成功的裸Die不会变态地被当骰子耍吧;Fab里最贵的三类设备,也就是晶圆制造前道工艺中的三大设备了;除了上面提到的各类“D”类Deposition沉积镀膜设备,其余两大设备就是听得耳朵都长茧子的的光刻机Photolithography“L“类设备,和光刻后的刻蚀机Etching”E“类设备了;目前海外Fab使用最广泛的光刻机就是DUV深紫外光刻Deep-UV lithography,和ASML动辄卖上亿美元一台的EUV极紫外光刻机Extreme-UV lithography了;不知不觉中,ASML和EUV似乎成了光刻机的代名词;事实上,能够在硅基上“刻”出图案的技术有多种:X射线光刻 X-ray lithography、电子束光刻 Electron beamlithography EBL、氦离子束光刻 Helium ion beam lithography、等离子体飞秒激光光刻Femtosecond Plasma Lithography FPL、纳米压痕刻Nano Imprint Lithography NIL;这么多种类的光刻技术,光刻机的供应商自然不会只有ASML一家,ASML倒确实是唯一一家既可以做EUV光刻,也能做EBL光刻的光刻机“大户”;ASML是在收购了用13260只电子束EBL跟它PK光刻老大败北的Mapper后,同时获得这项技术的;上面有提到可以说是半导体芯片行业使用频率可以排名前三的“黑话”,叫Fabless,业务里不做Fab的就叫Fabless;我们现在聊到了光刻,曝光时需要拿一片事先印好图形玻璃模板叫Mask或Reticle,放在光源与物镜之间的这一类叫做光罩Mask光刻,DUV、EUV光刻就是这类了;同理,曝光过程中不用模板辅助显影,而是光源直接接触硅片的技术就叫无光罩Maskless光刻了,带电粒子束光刻,也就是电子束、离子束一类光刻就属于这类了;“黑话”的特性是除了“道上”的同行,别人都听不懂的;这里的Maskless就又是一个“活生生”半导体的“黑话“的例子;既然提到“曝光“exposure,我们就顺便聊下半导体”盗用“摄影师的这条行话吧;早期照相机底片的感光原理在集成电路的制造过程中也适用;借助”曝光“,可以将光罩,又叫光掩模,也就是Mask上的事先定义的图形Pattern,转移到晶圆片上,借助大数值孔径Numerical Aperture NA的物镜的帮助,形成了更为精细的光阻图形;所以如果”光刻“是晶圆制造中最关键的一步的话,”曝光“便是”光刻“中那个最重要的步骤;既然重要,这里我们就多聊两句”黑话“:以使用最广的5XStepper为例,其方式就是把对紫外线UV敏感的光阻膜PR当作照相机的底片,光罩上是芯片架构师用EDA设计的各种图形,以特殊波长的光线,比如G-Line 436nm照射穿过光罩,经过物镜,又叫缩小镜或Reduction Lens后,光罩上的图形则呈5倍缩小,精确地定义在”底片“,也就是芯片上的光阻膜,又叫光刻胶或Photoresist,再经过显影Development后,即可将照到光线的正光阻膜显掉,从而就得到了我们心心念念地想要的各种精细图形,准备好为下一步的刻蚀或离子植入制程使用;因为光阻膜对于某特定波长的光线特别敏感,所以Fab厂都有黄光区,将一切照明用光源统一过滤成昏黄色,以避免泛白光源中含有对光阻有感光能力的波长成份存在,否则会发生光线污染,扰乱精细的光阻成形。就像胶片时代的照相底片一样,光刻胶 photoresist,又称光阻剂,也有正负之分;半导体的负片叫负性光刻胶negative photoresist,正片叫正性光刻胶 positive photoresist,较高制程的Fab多会用到正胶,显影时正胶会被显没,留下底下需要被刻蚀掉的硅片区域;“光刻胶“叫起来比”光阻剂“更加顺口,也算是一句标准的下凡“黑话”吧;这个关于光刻胶的“黑话”群还包括:无机光刻胶 inorganic resist、多层光刻胶 multilevel resist、电子束光刻胶 electron beam resist、X射线光刻胶 X-ray resist等分类;还有甩胶 spinning、涂胶 coating、烘胶 baking、去胶stripping、氧化去胶 removing by oxidation、等离子体去胶 removing of by plasma,等等。曝光工艺里还时不时地会听到还分接触式曝光法contact exposure、接近式曝光法 proximity exposure、还有投影式曝光法 projection exposure的说法,这是不是让刚刚觉得自己懂了点晶圆制造的半导体小白,只瞅了一眼半导体的世界,一下子又跌回了“深渊“呢?半导体“黑话“这次就先聊止于此,下篇是Save the best to the last,最精彩的那一集大结局;敬请期待。
  • 我国攻克大功率半导体激光器关键技术
    从中国科学院长春光学精密机械与物理研究所了解到,由该所研究员王立军带领的课题组攻克了大功率半导体激光器关键核心技术,成功开发出千瓦量级、高光束质量、小型化的各种半导体激光光源,并将成为工业激光加工领域的新一代换代产品。   王立军对记者说,大功率半导体激光器是激光加工、激光医疗、激光显示等领域的核心光源和支撑技术之一。由于西方发达国家掌控着大功率半导体激光器关键核心技术,长期以来,我国工业用激光加工设备不得不依赖进口。   王立军介绍说,他们的团队历经数年努力,通过激光光束整形、激光合束等关键技术,实现了高光束质量半导体激光大功率输出。   据了解,日前由王立军团队承担的这项研究——“高密度集成、高光束质量激光合束高功率半导体激光关键技术及应用”荣获了2011年度国家技术发明奖二等奖。项目组已经开始与一汽集团和北车集团接洽,尝试将这项技术应用于汽车制造等领域。
  • 闲聊半导体行业和芯片人的“黑话”(下)
    中篇讲到了Fab里用到的曝光技术,“黑话“不少;其实这次还好,接触式光刻技术Contact photolithography其实属于早期的光刻手段Method,这种方法中图形光罩Mask与晶圆Wafer尺寸一样大,还要紧密地贴在一起;不难想象,这种方式很容易造成wafer表面的损坏,并且mask也好不到哪里去,很难重复利用;为了解决能不用贴在一起就能光刻的问题,就出现了接近式光刻技术Proximity photolithography;也就是把mask的位置提升,脱离芯片表面一定距离保持接近,但避免接触;虽然解决了损伤和重复利用的问题,没变的是此时的mask仍然要与wafer保持一样大的尺寸;在这种早期的接触和接近式光刻技术帮助下,人类有了第一代光源为436nm g-line,特征尺寸节点到0.8um~0.25um、和第二代光源提升为365nm i-line,由于Mask和Wafer还是1:1的比例,所以特征尺寸节点仍然保持在0.8um~0.25um;在接触接近式光刻技术之后,以DUV Deep Ultraviolet为代表的投影式光刻技术Projection photolithography成为主流:这里我们就有了第三代扫描投影式光刻机DUV Scan,光源开始采用DUV深紫外波长248nm KrF激光,曝光方式改为扫描投影式,工艺节点提升为180nm ~ 130nm范围;还有步进投影式光刻DUV step-and-repeat,曝光方式变为步进式,工艺节点进步到了110nm左右;同样,由于光源波长保持一样,步进投影式光刻DUV step-and-repeat和扫描投影式光刻DUV Scan,同属于第三代光刻机;实现这项技术的关键模组是驱动光源在mask上做步进运动Stepper,或是扫描运动Scanner的组件,“黑话”叫它对准器mask aligner,投影式光刻技术的对准器就叫projection mask aligner了;第四代的光刻机,DUV光源进一步缩短波长,采用193nm ArF激光,曝光方式也同时改进为步进扫描,这就是步进扫描式光刻DUV Step-and-Scan,此时工艺节点已经达到65nm级别;随着将曝光镜头浸没在水中,增加了数值孔径NA,进一步提高了分辨率,就是国内目前Fab厂最高制程的第四代浸没扫描式光刻机DUV Immersion Scan了,工艺节点到了22nm。除了ASML,尼康在上世纪末是当之无愧的光刻机巨头,从 80 年代后期至本世纪初,尼康光刻机市场占有率超50%,代表着当时光刻机的最高水平。这点从尼康官网半导体光刻系统历史发展也可以看出,1980年Launch的NSR-1010G,工艺节点在1.0 µm;从1984年开始,几乎每年都会Launch至少1款光刻机;到了1999年,除了推出世界第一台干式ArF扫描光刻机NSR-S302A,节点≦180 nm之外,尼康还推出了节点≦400nm的NSR-SF100、节点≦150nm的 NSR-S204B、节点≦350nm的 NSR-2205i14E2、节点≦110nm的 NSR-S305B四款设备,卖出的光刻系统数量达到 6,000 台;也和佳能一样,在本世纪初,那场干湿路线之争成为了尼康的转折点,一路跌出了高端光刻机市场,直到2018年,尼康断言,“ArF液浸作为尖端曝光装置使用的电路尺寸是主战场”,推出了专为5nm工艺制程量产而开发的NSR-S635E ArF 浸没式扫描光刻机;尼康的这次出击确保了机台出色的聚焦稳定性并最大限度地减少了缺陷以提高产量,以每小时高达 275 个晶圆的超高通量,完成了回归。摩尔定律一路袭来,繁华之下仔细看过,光刻工艺节点Technology node,“黑话”又叫“制程”的提升,其实是和寸土寸金的Fab外的,我们“正常”实验室里的光学显微术Light microscopy进化历程不约而同,殊途同归的,不是吗?为了提升白光光镜的分辨能力,我们尝试了从汞灯,卤素,LED,一直到激光Laser的历程;在高倍100X的物镜和样品盖玻片之间,我们不也用折射率n为1.58的浸没油Immersion Oil来排挤空气,改善光线折射的数值孔径NA,进而提高分辨率吗?我想说的是,半导体行业的光鲜,跟它有多高的技术没有直接关系;让它披上靓丽的光环的,是在同等级别技术下的能够独步“武林”的应用-芯片制造,更是在这些应用背后成为推手的更加辉煌的民用和国防基建进展;疫情下“缺芯潮”持续,各行业对芯片的需求,转化为对芯片人才的需求,形了成一股芯片行业的涨薪潮。芯片行业资深猎头机构“Match Offer”说:“别家都在裁员,芯片企业却在涨薪;芯片行业整体都很缺人,尤其是芯片设计,我们经手的很多芯片设计工程师和验证工程师年薪在60万~120万元,属于团队中坚力量;中坚中的“战斗机”-MCU架构设计师起薪已经飙到200万!薪资普涨背后,除芯片设计公司吸纳更多人才,不少科技企业也开始自研芯片,也推高了芯片人才的需求;深度科技研究院表示:“从设计制造到封测,芯片产业各环节都有人才缺口,其中最缺的是接触过先进设备、从事过先进芯片设计和生产的高端人才。以往半导体公司薪资待遇长期偏低,核心人才容易流失,高校芯片专业偏冷门,多重因素导致人才供应不足。如今电动车、3C产品对芯片的需求扩大,导致芯片产能和人才需求增加”。我们不得不说,半导体行业和芯片人,真乃生人逢时也!说回光刻机,在22nm节点之后,DUV已经没法再优化了,只能重新开发新的13.5nm 的EUV极紫外光源;现阶段的EUV,确实是ASML的垄断状态,实现的工艺节点可以到14nm、7nm、5nm;EUV技术的关键难点在于材料吸收,因为波长太短光子能量很高,基本上大部分材料都会很容易的吸收EUV光源,导致光源到达工作面时光强很弱,所以设计时材料的选取是非常关键,光刻环境也要要求严格的真空环境;EUV作为一种新光源的第五代光刻机的出现,还会影响一整条产业链的格局,因为不同光源对Mask材料,光刻胶材料,光学镜头等都有独特的要求,最新的0.55NA的造价已经标至几十亿美元一台。ASML总部2017年曾说过:“如果我们交不出EUV,摩尔定律就会从此停止。”;ASML日本也说过:“摩尔定律预计未来10年后还会持续下去,以此为中心支撑的是最先进的EUV光刻机”;近期的ASML公众号也在咬着后槽牙讲话:“只要我们还有想法,摩尔定律就会继续生效!”。可是,面对高昂的代价和无限长的货期,理性说:“还是让我们回过头来,重新盘点了下上面我们提到的那些光刻技术吧;是时候要回归Maskless光刻了,更是时候抛弃传统的只有用“光”才能“刻”的技术了!“不用光罩的Maskless电子束曝光系统 electron beam exposure技术EBL,虽然由于通量有限曾被EUV打败,但是电子先天短波长的优势,势必会重回“光刻”舞台;全球六家EBL厂家,欧洲有两家,日本四家;前身是Leica Microsystem芯片分支的Vistec公司,以其“有趣“的历程,惹人关注;国产EBL厂家”Goldenscope”立志追赶,投资1.7亿开发的电子束光刻设备,已经有三台样机在深圳福田,北航,及国防大学处安装完成,并投入使用;第四台即将安装在怀柔科学城;不用“光”的纳米压痕NIL技术,更以相比EUV只有四成的成本和一成的功耗,重回芯片产业视野;这里我们要谈到另一家光刻机巨头佳能:跟尼康一样,佳能在上世纪还是很猛的,1970年发售了日本首台半导体光刻机PPC-1;1975年发售的FPA-141F光刻机,在世界上首次实现了1微米以下的光刻;1984年推出了FPA-1500FA,节点在1.0 μm;1994 年发布第一款FPA-3000 系列,配备了分辨率为 0.35 μm 的i-line 镜头,是当时世界上分辨能力最高的镜头之一;也和尼康一样,本世纪初的那场干湿路线之争也成为了佳能的“滑铁卢”。这里我们要说的是,佳能早在2004 年就开始研发NIL技术,2014年美国分子压印公司(现佳能纳米技术)加入佳能集团的消息公开,明确表示将使用纳米压印法进行开发;2021 年,大日本印刷在根据设备的规格进行了NIL内部模拟,发现在电路形成过程中每个晶片的功耗可以降低到使用EUV曝光时的大约1/10;根据大日本印刷的说法,NIL量产技术制程可达5nm的节点;2017年,佳能NIL纳米压印芯片制造设备“FPA-1200NZ2C”,正式交付给东芝存储器工厂;从目前透露的消息来看,和佳能共同开发的NIL技术的铠侠KIOXIA已掌握NIL 15nm的制程量产技术,目前正在进行15nm以下技术研发,预计2025年进一步达成量产。聊完“D”沉积镀膜,“L”光刻,我们到了“E” Etching蚀刻设备了;在IC集成电路的制程中,常常需要将整个电路图案定义出来,其制造程序是先长出或盖上一层所需要的薄膜Deposition,再利用微显影技术Development在这层薄膜上,以光阻PR定义出所欲制造的电路图案,再利用化学或物理方式将不需要的部份去除Etch;此种去除步骤,便称为蚀刻;蚀刻可分为湿法蚀刻Wet Etching,及干法蚀刻Dry Etching两种:所谓湿蚀刻就是利用化学品,如酸液,与所欲蚀刻的薄膜起化学反应,产生气体或可溶性生成物,达到图案定义的目的;而所谓干蚀刻,则是利用机台产生电浆将所欲蚀刻的薄膜反应,产生气体,由真空泵PUMP抽走,达到图案定义的目的。这里讲话“蚀刻”,或“刻蚀”不定,关键字是“蚀”,就是“挖走”、“去除”之意;这里的“黑话”群包括反应离子刻蚀 reactive ion etching, RIE、各向同性刻蚀 isotropic etching、各向异性刻蚀 anisotropic etching、反应溅射刻蚀 reactive sputter etching、等离子体刻蚀 plasma etching。上面提到,Chip的Etching过程中,常需要用酸碱溶液;这些蚀刻步骤之后,必须利用水把芯片表面残留的酸碱清除,而且水的用量是相当大;然而IC工业用水,并不是一般的自来水,而是自来水或地下水经过一系列的纯化而成;因为自来水或地下水中,含有大量的细菌,金属离子及各类Particle,将之杀菌过滤和纯化后,即可把杂质去除,所得的水即称为"去离子水"Deionized Water,就是DI water,专供IC制造之用。这里想到的是,“正常”实验室里作为电镜冷却液的“水”,不少会用到这个“去离子水”,这是有害无益的;因为铜质冷却管道会“损失”铜离子到DI water中,长此以往会害上“骨质疏松”症,越来越薄,直到泄露;“正常人”知道,电镜散热要求较易满足,冷却液里主要怕长“水藻”,一种类似汽车防冻液,叫“Hexid40”的冷却液就足够了。从Fab和Foundry出来的Chip,就完成了芯片制造的“前道”程序,该进入“后道”的“封测”了;封装“黑话”叫Packaging,这里的“黑话群”有金属封装 metallic packaging、陶瓷封装 ceramic packaging、扁平封装 flat packaging、塑封 plastic package、玻璃封装 glass packaging,都属于微封装 micropackaging,又称“微组装”;更大的印刷线路板PCB封装中,常见Surface Mounted Technology SMT,比起“传统”的Pin Through Hole PTH“板上插针”方式就是“鸟枪换炮”。“市场对芯片是刚性需求,相应对芯片人才也会保持较大需求。未来,要等待企业成长、逐渐培养人才,也要等待高校输出高质量毕业生。”笔者说;要满足半导体行业对“芯片人”的用人需求,不仅需要企业提高薪资吸引人才,还需等待行业逐渐成熟,有能力培养并稳定人才。笔者表示,解决芯片行业缺人问题,还是需要市场发挥作用,逐渐调整,就从学会“说黑话,对暗号”开始吧。
  • 预算1.7亿!中科院半导体所2022年仪器采购意向汇总
    为优化政府采购营商环境,提升采购绩效,《财政部关于开展政府采购意向公开工作的通知》(财库〔2020〕10号)等有关规定要求各预算单位按采购项目公开采购意向,内容应包括采购项目名称、采购需求概况、预算金额、预计采购时间等。近两年来,各大高校、科研院所等纷纷在相关平台公布本单位政府采购意向。中国科学院半导体研究所以国家重大需求为导向,开展前沿基础和应用技术研究,拥有2个国家级研究中心、3个国家重点实验室、2个院级实验室,并设有半导体集成技术工程研究中心、光电子研究发展中心、半导体照明研发中心、全固态光源实验室和元器件检测中心等,与地方政府、科研机构、大学和企业等共建了近40个联合实验室,在半导体领域取得了一系列科研成果,培养了一批批优秀人才。成果的产出和人才的培养都离不开仪器的支持,中国科学院半导体研究所每年都会投入一定的经费采购科学仪器,以建立具有国际先进水平的实验研究和测试平台。为方便仪器信息网用户及时了解仪器采购信息,本文特对中国科学院半导体研究所2022年1至12月政府采购意向进行了整理汇总。共收集到41个采购项目,预算金额相加达1.7亿元,采购品目涉及高分辨场发射透射电子显微镜、扫描电子显微镜、双腔分子束外延系统、金属有机气相化学沉积、高真空化学沉积系统、高分辨X射线衍射仪等多种仪器类型。中国科学院半导体研究所2022年政府采购意向汇总表序号项目名称预算金额(万元)采购日期项目详情1超低振动无液氦闭循环低温恒温器1802月详情链接2矢量超导磁体1902月详情链接3反应磁控溅射系统2933月详情链接4反应磁控溅射光学膜镀膜机2453月详情链接5低温真空面内磁场旋转探针台1004月详情链接6低温PL mapping测试设备230.025月详情链接7高分辨场发射透射电子显微镜8005月详情链接8双腔分子束外延系统(MBE)19835月详情链接9扫描电子显微镜(SEM)418.15月详情链接10ICP刻蚀机3555月详情链接11离子束沉积系统7005月详情链接12超高精密加工飞秒激光光源1665月详情链接13微光红外显微镜2805月详情链接14可调谐飞秒光参量放大器1515月详情链接15光/电芯片贴片键合系统1795月详情链接16高精度光路偏振综合测试系统1106月详情链接17金属有机气相化学沉积(MOCVD)16596月详情链接18超声扫描显微镜1206月详情链接19参数曲线跟踪仪1206月详情链接20窄线宽激光器自动光学耦合机1156月详情链接21高性能计算集群9006月详情链接22高分辨X射线衍射仪2306月详情链接23MOCVD外延生长设备15006月详情链接2467G矢量网络分析仪2297月详情链接25闭式冷却塔3907月详情链接26高分辨X射线衍射仪2207月详情链接27蝶形管壳密封机1217月详情链接28高温气相外延系统1707月详情链接29高分辨场发射透射电子显微镜7009月详情链接30高真空化学沉积系统16009月详情链接31微区荧光测试系统2579月详情链接32基于宽谱光源的光纤电流传感装置测试系统1609月详情链接33人才配套支撑6009月详情链接34变温变磁场输运测量系统1809月详情链接35扫描电子显微镜5309月详情链接36低温半导体参数综合测试设备162.810月详情链接37磁控溅射设备18010月详情链接38高分辨X射线衍射仪229.6410月详情链接39逻辑分析仪15010月详情链接40晶圆表面缺陷扫描测试系统17210月详情链接41高分辨X射线衍射仪22012月详情链接
  • 在半导体、激光加工、安检行业,滨松的核心光技术如此发力
    自1953年成立以来,滨松公司一直积极投入与人们日常生活息息相关的领域。从扫地机器人到LIDAR小车,从可穿戴健康监测设备到健康随时报警器,再到用于检测晶圆等产品的半导体失效分析设备,滨松公司在半导体制造、健康监测,激光加工,智能设备以及未来的太赫兹等应用领域持续创新,致力于为人们的生活带来便捷与创新。接下来小编会与大家共同分享,在此次光子展中滨松的半导体应用,激光加工应用,X射线应用等相关产品如何将光技术融入我们的日常生活,为我们的生活带来便捷与希望。半导体制造行业在信息时代的大潮中,半导体成为了不可或缺的基石,如同粮食对于工业的重要性,它是电子设备的心脏,深深影响着我们的生活。从尖端的科技领域到日常生活的方方面面,半导体无处不在。比如,半导体芯片在智能汽车、5G通信、航空航天、国防军工、医疗卫生等领域中发挥着关键作用。滨松,一直致力于半导体产业的发展,通过自主研发,推出了多款创新产品,满足各种应用技术的需求。例如,一款独特的电离静电消除器,它能在低到高真空级别下工作,无需吹气。此外,还有用于检测micro LED晶圆的系统、高精度高速膜厚测量仪、丰富的光谱仪产品线以及小型化高输出的UV-LED单元等。那么,这些产品在实际使用中有哪些独特的优势和表现呢?让我们一探究竟!VUV电离器静电消除器VUV静电消除装置,真空静电消除器是使用“光离子化”来应用真空紫外光去除静电的静电电荷去除器。这种创新的离子化方法利用真空紫外光的独特功能来消除真空(减压状态)中不需要的静电电荷,这是此前一直无法实现的。主要用于消除工业生产过程中真空的静电,例如半导行业,LCD行业以及其他自动化工序的关键工艺中。产品特点:■可真空中和 、高水平的静电消除性能(0 V静电消除);■不需要吹气 、支持低到高真空级别;■防止反向充电,无粉尘产生。MiNYPL:微型LED PL测试仪MiNYPL 是一种使用光致发光 (PL) 测量方法的微型 LED 晶圆检查系统。MiNYPL是一种独特的二维成像技术,不必使用光谱仪,就可以一次性计算出平面内的发光波长。主要应用于Micro/Mini LED产品的发光和波长异常的检测中,可以在产品出现缺陷问题时帮助客户进行精准、快速定位。产品特点:■能够检测到仅通过外观检查无法发现的发光异常和波长异常;■实现电致发光(EL)测试无法实现的详尽测试;■通过在生产前进行检验来提高良率。高精度膜厚测量仪Optical NanoGauge 膜厚测量系统 C15151-01 是一种利用光谱干涉法的非接触式膜厚测量系统。这种大功率、高稳定的白光光源支持精确测量薄膜厚度,包括超薄薄膜(1 nm)。此外,光源的使用寿命为 10,000 小时,适用于在线操作。产品特点:■支持超薄薄膜测量(1 nm甚至更换激光器后更低); ■高度精确(测量重复性:0.1 nm以下);■采用大功率白光光源;■使用寿命长(维护周期1年以上)。光谱仪光谱分析是物质分析中的一种重要方法,在工业,农业,环境,食品,医药和制药等领域中的应用都十分普遍,而光谱仪则是长期征战于第一线的核心器件之一。针对于光谱仪来说,滨松可谓是拥有各种型号不同性能的全线产品。并且就连光谱仪需要的软件滨松也在近期有了升级,”尖雀“光谱仪软件全新亮相。1、滨松超小型光谱仪家族全亮相,满足不同波段需求(可量产)2、从图像传感器到微型光谱仪的进阶之路,滨松有话说3、滨松光谱仪软件升级了,诚邀测试反馈4、三招提升光谱仪信号质量 LIGHTNINGCURELC-L5G线性照明型UV-LED单元滨松 LIGHTNINGCURE LC-L5G 系列是线性照射型 UV-LED 光源系列,有多种波长范围如365 nm / 385 nm /395 nm / 405 nm可供选择,具有许多出色的特点,如小型化、重量轻、高输出和大片照射区域,使其成为包括 UV 印刷、UV 涂布和 UV 粘合剂固化等各种用途的理想选择。为了实现最高的 UV-LED 光源性能,滨松采用了名为 ThoMaS 的专利型空气制冷法,名为 HANCE (*1) 的专利型氮气吹扫法,以及可延长产品保修期的保修延期选项 ALiCE。*1:ThoMaS 和 HANCE 仅适用于 GH-103A 型号。激光加工行业在当今高速发展的科技时代,激光技术已经渗透到各个领域,尤其在中国制造2025的大背景下,它已成为不可或缺的重要支撑。从晶圆切割、手机屏幕粘贴,到玻璃切割、塑料焊接以及表面处理,激光技术的身影无处不在。众所周知,半导体激光器因其大输出功率、低价格的优势,使得激光器处理的用途越来越广泛。但随之而来的是可靠性和质量控制的担忧,成为了阻碍其普及的难题。对此,滨松认为激光器处理过程的稳定性与视觉控制是消除这些担忧的关键。如今,滨松光已经将半导体激光器应用于各类产品中,从研发到生产现场,无一不是它的用武之地。SPOLDld辐照光源L13920系列印刷电子是通过印刷制造电子电路的技术,只需将设计好的电路用金属纳米油墨印刷在衬底上,加热(烧结)即可制成电子电路。金属纳米油墨加热(烧结)过程的热源可以采用滨松的SPOLD辐照激光产品,使用激光束照射金属纳米油墨加热,使金属纳米颗粒粘合在一起进行烧制。产品特点:■由于只有激光应用的纳米墨水被加热和烧结,它几乎不影响周边;■即使是不耐热的材料也可以用作基板;■可以节省电力,因为电路可以只使用能量来加热工件;■由于从电到激光的高转换效率,卓越的能源效率(电光转换效率:60%或更高)。硅基液晶-空间光调制器滨松LCOS-SLM 是反射空间光相位调制器,可自由调制光相位,而激光的光相位由液晶调制。光的波前控制可应用于光束光刻、像差校正。并且滨松最近也发布了最新款SLM,通过应用我们专有的热设计技术和改善散热性能,我们能够将耐光性能提高到世界级的700 W(大约是以前型号的3.5倍)。配合大功率激光,可实现灵活、高精度、高效率的加工,点击此处了解新品详情。针对于SLM需要的代码,滨松现在也免费提供给大家,详情可以点击此处了解。iPMSEL 可积相位调制表面发射激光器iPMSEL全称是Integrable Phase Modulating Surface Emitting Lasers,是滨松开发的一种芯片大小的光源,可以从半导体芯片直接控制光束输出,可集成相位调制表面发射激光器,通过超小模式光源实现自然立体显示。由于它们的精细性,集成是可能的,并且在未来,正在进行的技术目标是将大量光束转向灵活的方向。安全检测产业随着世界各地海关港口、民用航空和交通运输的飞速进步,人们对安全的重视程度与日俱增,安检市场也因此蓬勃发展。在这样的背景下,快速、准确地识别和应对危险因素变得至关重要。滨松凭借其独特的X射线技术,精心打造出微焦点射线源和相关的X射线探测器,广泛应用于无损检测等关键领域。这些产品不仅代表了滨松的技术实力,更为安检行业树立了新的标杆。低真空操作离子探测器机场安检拥堵、漫长的排队等待,让人疲惫不堪?这一切都因为传统的检测方式太粗糙,许多细小的物件常常被遗漏,导致误报频发,而重复检测又耗费大量时间。那么,有没有一种方法能解决这个问题呢?答案是肯定的!低真空操作离子探测器就是救星!只需将检测板与待测物品轻轻一碰,然后立即放入检测设备中,即可迅速完成安全检测。这种高科技设备不仅对目标材料极其敏感,而且还能大大简化检测流程,再也不用为机场安检排队而烦恼了!X射线检测X射线可以穿透普通可见光无法穿透的物质,穿透能力与X射线的波长及穿透材料的密度、厚度有关。X射线波长越短,穿透率越高;待测物密度越低且厚度越薄,X射线穿透就越容易。X射线成像的基本原理便是根据X射线的特性以及零件的密度和厚度的差异来进行。可以清楚地观察内部而不损坏物体,因此在广泛应用于安全检测。滨松在X射线方面所具有的成像能力,大家可以点击此篇文章如何获得一张满意的X射线图像(收藏就等于会了来了解技术原理解析,接下来从产品层面为大家进一步说明。X射线源对于要求高精度检测技术的X射线无损检测市场,例如越来越精细的电子设备和越来越多样化的食品,滨松通过提供广泛的X射线源和探测器来满足各种需求,在X射线无损检测中发挥关键作用。以下只是滨松部分线源的型号,如有需求可以在评论区留言,会有工程师与您联系。详解:无损检测中的微焦点X射线源(MFX)X射线探测器(一维成像)适用于需要高速工作和高灵敏度在线成像用途的相机。传统的线阵传感器相机在高分辨率成像下具有低辉度,而 X 射线 TDI 相机则提高了图像辉度,从而增强了图像。最适用于线性移动物体成像或宽高比显著不对称的成像。另提供可在狭小空间内安装的垂直 X 射线 TDI 相机。X射线平板传感器(二维成像)将大面阵 CMOS 图像传感器和微光纤板与闪烁体 (FOS) 结合在一起的 X 射线平板传感器。可以采集百万像素级的高清数字视频和静态图像,而不会失真。平板传感器外形薄、重量轻,可轻松安装到其他设备中。产品特点:■ 高速成像;■ 高X射线电阻;■ 低噪音,低缺陷。以上关于部分热门应用的相关介绍就到此结束,如果还有其他问题,欢迎评论区留言或者直接联系相关工程师获取技术支持。编辑:又又&▼
  • 我国成功研发出民用半导体激光器件
    “民用半导体激光器件我们已摆脱长期依赖进口的局面。现在,我们已经发明成功,工艺性能稳定,产品投入规模生产阶段。”1月10日,记者在山东浪潮华光公司采访,听着技术专家高兴地介绍着,看到那长长的流水线正“收获成熟的芯片”。如今,我们的企业真正拥有了世界顶尖的核心技术,产品价格大幅度下降,让“等面值人民币”买到“等面值美元”的产品不再是梦想。   民用激光显示技术能够完美地再现自然色彩,是继黑白显示、彩色显示、数字显示之后的第四代显示技术。目前,国际上激光显示技术已发展到产业化前期阶段,未来3至5年,将是全球激光显示技术产业化发展的关键时期。为加快推进光电技术研究,打破关键技术的“封锁”,我国把“新一代激光显示技术工程化开发”列为863计划重点项目,其中的“高可靠性、低成本半导体激光器材料与器件工程化开发”课题让山东浪潮华光光电子有限公司所承担。   浪潮华光是国内唯一一家拥有从激光器材料生长到器件制作的完整生产线的高新技术企业,自1999年建厂以来,其半导体激光二极管及大功率激光器的产销量持续稳居国内第一。为推进课题进展,浪潮华光组建精英团队,加速科研攻关。公司成立了由总经理、国务院特殊津贴专家郑铁民研究员担任组长的项目小组,调动公司所有资源,完善了科研团队建设,从半导体激光器的材料生长、管芯工艺制作、器件封装等整个制造工艺链均配备了专业人才。组建了以长江学者徐现刚教授为学术带头人的研发团队,有研究员、高级工程师和博士、硕士等80余人。强大的科研团队借助公司已有的省级半导体激光器技术实验室、山东省半导体发光材料与器件工程实验室等科研平台,开展了技术攻关。   期间,在徐现刚教授的引领下,技术总监夏伟博士组织浪潮华光的精英团队成员,集思广益,刻苦钻研,成功实现了三大关键技术突破:一是TM偏振808nm半导体激光器外延材料与芯片研制。围绕实现项目要求的特定偏振激光输出,项目组从理论设计激光器的材料结构开始,进行了系统的研究,有效采用了MOCVD技术制备这种特殊材料,加快了科研步伐。目前,该技术世界上只有为数不多的几个大公司掌握。通过5个月的努力,浪潮华光成功掌握了自主生长技术,满足了项目需求。二是635nm激光器外延材料与芯片研制。为了增加红光分量的亮度,激光显示项目在红光波段选择了波长最短的635nm半导体激光器。浪潮华光在650nm半导体激光器方面积累了丰富经验,形成了稳定的650nm半导体激光器产品,占据市场70%的份额。虽然635nm激光器相比650nm红光激光器只有十五纳米的波长差异,但是其带来的技术难题却成几何级数增长。目前,只有日本的几家公司掌握了635nm激光器的制作技术。浪潮华光研发团队经过上千次的试验,最终突破了635nm红光激光器材料的生长技术难点,实现了红光激光器的大功率输出和长期可靠工作。三是模组封装及集成技术。浪潮华光的封装技术人员克服时间紧任务重的困难,与863项目的用户积极配合,实现了高精度多管芯封装技术、新型热沉制作技术、微透镜整形技术等多项自主创新技术,完成了项目要求的模组封装和整形。   目前,针对所承担的“863”项目,浪潮华光已成功研制出满足激光显示工程化要求的808nm、635nm高可靠性、低成本半导体激光器件,并已经初步实现了规模化的生产。从目前的科研和生产进度上看,浪潮华光有望提前全面完成项目预定任务,并能实现批量提供民用激光显示用激光光源的目标,将会大大降低激光器的价格,并带动国内激光器应用市场的发展和更加广泛的应用,实现了“替代进口产品、提高我国半导体激光器的地位、实现激光器显示用核心元器件国产化”的梦想,让该公司产品在国际激光显示产业中独占鳌头。
  • 中国科大在氧化镓半导体器件领域取得重要进展
    近日,第68届IEEE International Electron Devices Meeting (IEDM,国际电子器件大会)在美国旧金山召开。IEEE IEDM是一个年度微电子和纳电子学术会议,是报告半导体和电子器件技术、设计、制造、物理和建模等领域的关键技术突破的世界顶级论坛,其与ISSCC、VLSI并称为集成电路和半导体领域的“奥林匹克盛会”。中国科大国家示范性微电子学院龙世兵教授课题组两篇关于氧化镓器件的研究论文(高功率氧化镓肖特基二极管和氧化镓光电探测器)成功被大会接收,这也是中国科大首次以第一作者单位在IEEE IEDM上发表论文。  1.高功率氧化镓肖特基二极管 如何开发出有效的边缘终端结构,缓解肖特基电极边缘电场是目前氧化镓肖特基二极管研究的热点。由于氧化镓P型掺杂目前尚未解决,PN结相关的边缘终端结构一直是难点。该工作基于氧化镓异质PN结的前期研究基础(Weibing Hao, et.al.,in proc. ISPSD, 105,2022),将异质结终端扩展结构(Junction Termination Extension, JTE)成功应用于氧化镓肖特基二极管。该研究通过合理设计优化JTE区域的电荷浓度,确保不影响二极管正向特性的同时最大化削弱肖特基边缘电场,从而有效提高器件的耐压能力。优化后的器件实现了2.9 mΩcm2的低导通电阻和2.1kV的高击穿电压,其功率品质因数高达1.52 GW/cm2。此外,利用该优化工艺成功制备并封装了大面积的氧化镓肖特基二极管,器件正向偏压2V下电流密度达到180A/cm2,反向击穿电压高达1.3kV。研究成果以“High-Performance Vertical β-Ga2O3 Schottky Barrier Diodes Featuring P-NiO JTE with Adjustable Conductivity”为题发表在IEDM 2022上,且获选为Top Ranked Student Paper。论文第一作者为我校微电子学院博士生郝伟兵,微电子学院龙世兵教授和徐光伟特任副研究员为论文共同通讯作者。图1结终端扩展氧化镓肖特基二极管。(a)器件结构示意图。(b)具有不同JTE区域电荷浓度的器件击穿特性比较。 (c)封装器件反向恢复特性测试电路。(d)与已报道的氧化镓肖特基二极管的性能比较。 2.氧化镓光电探测器光电探测器在目标跟踪、环境监测、光通信、深空探索等诸多领域发挥着越来越重要的作用。响应度和响应速度是光电探测器的两个关键的性能参数,然而这两个指标之间存在着制约关系,此消彼长。由于缺乏成熟的材料缺陷控制技术,该问题在以氧化镓材料为代表的超宽禁带半导体探测器中尤为突出。龙世兵教授团队通过引入额外的辅助光源实现对向光栅(OPG)调控方案(图2a),来缓解上述制约关系。该OPG方案下的Ga2O3/WSe2结型场效应晶体管探测器在目标光(深紫外)照射下表现出负向光栅效应(NPG),器件的阈值电压往负向移动(图2b);与之相反,辅助光源(可见光)照射使器件表现出正向光栅效应(PPG),器件的阈值电压往正向移动;在目标光及辅助光同时照射下,器件整合了正、负对向光栅效应,但总体表现为阈值电压朝负向移动。OPG方案有效抑制器件内严重的持续光电导效应,器件的响应速度明显提升(图2c)。此外,如图(2d)所示,OPG调控方案中引入的辅助性可见光对器件的光/暗电流比和响应度等关键指标几乎不产生影响。最终,当OPG方案中的可见光常开,在仅牺牲10.4 %的响应度的情况下即实现了1200倍响应速度的提升,成功削弱了响应度和响应速度之间的制约关系。特别地,当通过反馈电路控制辅助光源仅在器件响应的下降沿触发,将在无响应度牺牲的情况下实现响应速度的数量级提升。该工作提出了一种光电探测器芯片内千万像素共享一颗辅助LED即可缓解响应度与响应速度之间的制约关系的策略,对光电探测芯片综合性能的提升有重要的参考意义。研究成果以“Alleviating the Responsivity-Speed Dilemma of Photodetectors via Opposite Photogating Engineering with an Auxiliary Light Source beyond the Chip”为题发表在IEDM 2022上。我校微电子学院龙世兵教授和赵晓龙特任副研究员为论文的共同通讯作者,微电子学院博士生邹燕妮为论文第一作者,硕士生曾妍和博士生谭鹏举为论文的共同第一作者。图2对向光栅(OPG)光电探测器概念及基本特性。上述两项研究得到了国家自然科学基金、中国科学院和科技委等的资助,也得到了中国科大微纳研究与制造中心、中国科大信息科学实验中心、富芯微电子公司等在器件制备、仿真模拟及封装方面的支持。
  • 半导体所成功研制太赫兹量子级联激光器产品
    中国科学院半导体研究所半导体材料科学重点实验室、低维半导体材料与器件北京市重点实验室,在科技部、国家自然科学基金委及中科院等项目的支持下,经过努力探索,制备成功太赫兹量子级联激光器系列产品。   太赫兹(THz)量子级联激光器是一种通过在半导体异质结构材料的导带中形成电子的受激光学跃迁而产生相干极化THz辐射的新型太赫兹光源。半导体材料科学重点实验室经过多年的基础研究和技术开发,目前推出系列太赫兹量子级联激光器产品。频率覆盖2.9~3.3 THz,工作温度10~90 K,功率5~120mW。   太赫兹波介于中红外和微波之间,是一种安全的具有非离化特征的电磁波。它能够穿透大多数非导电材料同时又是许多分子光学吸收的特征指纹光谱范围。它的光子能量低(1 THz对应的能量大约4meV),穿透生物组织时不会产生有害的光电离和破坏,在应用到对生物组织的活体检验时,比X光更具优势。它的波长比微波短,能够被用于更高分辨率成像。THz波在分子指纹探测、诊断成像、安全反恐、宽带通讯、天文研究等方面具有重大的科学价值和广阔的应用前景。 半导体研究所制备成功太赫兹量子级联激光器系列产品
  • 半导体所硅基外延量子点激光器研究取得进展
    硅基光电子集成芯片以成熟稳定的CMOS工艺为基础,将传统光学系统所需的巨量功能器件高密度集成在同一芯片上,提升芯片的信息传输和处理能力,可广泛应用于超大数据中心、5G/6G、物联网、超级计算机、人工智能等新兴领域。硅(Si)材料发光效率低,因此将发光效率高的III-V族半导体材料如砷化镓(GaAs)外延在CMOS兼容Si基衬底上,并外延和制备激光器被公认为最优的片上光源方案。Si与GaAs材料间存在大的晶格失配、极性失配和热膨胀系数失配等问题,因而在与CMOS兼容的无偏角Si衬底上研制高性能硅基外延激光器需要解决一系列关键的科学与技术难点。   近期,中国科学院半导体研究所材料科学重点实验室杨涛与杨晓光研究团队,在硅基外延量子点激光器及其掺杂调控方面取得重要进展。该团队采用分子束外延技术,在缓冲层总厚度2700nm条件下,将硅基GaAs材料缺陷密度降低至106cm-2量级。科研人员采用叠层InAs/GaAs量子点结构作为有源区,并首次提出和将“p型调制掺杂+直接Si掺杂”的分域双掺杂调控技术应用于有源区,研制出可高温工作的低功耗片上光源。室温下,该器件连续输出功率超过70mW,阈值电流比同结构仅p型掺杂激光器降低30%。该器件最高连续工作温度超过115°C,为目前公开报道中与CMOS兼容的无偏角硅基直接外延激光器的最高值。上述成果为实现超低功耗、高温度稳定的高密度硅基光电子集成芯片提供了关键方案和核心光源。   6月1日,相关研究成果以Significantly enhanced performance of InAs/GaAs quantum dot lasers on Si(001) via spatially separated co-doping为题,发表在《光学快报》(Optics Express)上。国际半导体行业杂志Semiconductor Today以专栏形式报道并推荐了这一成果。研究工作得到国家重点研发计划和国家自然科学基金等的支持。图1.硅基外延量子点激光器结构示意及器件前腔面的扫描电子显微图像。图2.采用双掺杂调控的器件与参比器件在不同工作温度下的连续输出P-I曲线,插图为双掺杂调控激光器在115℃、175mA连续电流下的光谱。
  • 新型半导体激光器成功解决激光成像“光斑”问题
    美国耶鲁大学的科学家开发出一种新的半导体激光器,成功解决了长期困扰激光成像技术的&ldquo 光斑&rdquo 问题,有望显著提高下一代显微镜、激光投影仪、光刻录、全息摄影以及生物医学成像设备的成像质量。相关论文发表在1月19日出版的美国《国家科学院学报》上。   物理学家组织网1月20日报道称,全视场成像应用近几年来已经成为众多研究所关注的焦点,但光源问题却一直未能得到解决。这项由耶鲁大学多个实验室合作完成的项目成功破解了这一难题,为激光成像技术大范围的应用铺平了道路。   耶鲁大学物理学教授道格拉斯· 斯通说,这种混沌腔激光器是基础研究最终解决实际应用问题的一个典型范例。所有的基础性工作,都是由一个问题驱使的&mdash &mdash 如何让激光成像技术更好地在现实中获得应用。最终,在来自应用物理、电子学、生物医学工程以及放射诊断等多个学科的科学家努力下,这一问题得到了解决。   此前,科学家们发现激光在成像领域极具潜力。但&ldquo 光斑&rdquo 问题却一直困扰着人们:当传统激光器被用于成像时,由于高空间相干性,会产生大量随机的斑点或颗粒状的图案,严重影响成像效果。一种能够避免这种失真的方法是使用LED光源。但问题是,对高速成像而言,LED光源的亮度并不够。新开发出的电泵浦半导体激光器提供了一种不同的解决方案。它能发出十分强烈的光,但空间相干性却非常低。   论文作者、耶鲁大学应用物理学教授曹辉(音译)说,对于全视场成像,散斑对比度只有低于4%时才能达到可视要求。通过实验他们发现,普通激光器的散斑对比度高达50%,而新型激光器则只有3%。所以,新技术完全解决了全视场成像所面临的障碍。   论文合著者、放射诊断和生物医学助理教授迈克尔· 乔马说:&ldquo 激光斑点是目前将激光技术用于临床诊断最主要的障碍。开发这种无斑点激光器是一项极其有意义的工作,借助这一技术,未来我们将能开发出多种新的影像诊断方法。&rdquo
  • 国家半导体光电产品检测实验室落户广东江门
    6月4日,记者从江门出入境检验检疫局获悉,日前,中国国家质检总局下发文件,正式批准江门市筹建“国家半导体光电产品检测重点实验室”。   为支持江门市发展新兴产业,推动绿色(半导体)光源产业上规模、上层次,江门出入境检验检疫局申报的“国家半导体光电产品检测重点实验室”项目,日前得到国家质检总局正式批准筹建。   据江门出入境检验检疫局介绍,近年来,由于节能环保等“低碳”要求,包括LED(发光二极管)照明在内的半导体光电产业的技术创新和产业发展突飞猛进。世界发达国家高度重视LED测试方法及标准研究,争相建立相关的标准体系,而在中国国内还基本处于空白。   近半年来,江门市政府、广东出入境检验检疫局和江门出入境检验检疫局共同组成申报团队,项目得到国家质检总局重视。   据悉,江门市已规划4平方公里土地作为绿色光源产业基地核心园区,计划投资20亿元,建成以半导体照明为主,兼顾节能灯、等离子灯等新光源及太阳能光伏等关联产业园区。作为配套载体建设的重要组成部分,经批准的“国家半导体光电产品检测重点实验室”将在该产业基地核心园区内建设。   业内人士称,“国家半导体光电产品检测重点实验室”的建设将在研究相关检测标准和技术,开展相关产品检测,确保产品质量安全方面发挥重要作用。
  • 武汉森蓝助力高精度半导体检测早日实现国产替代
    数据显示,全球半导体芯片高端检测设备市场基本由美国、日本等国外公司垄断。这意味着,尽快实现芯片高端检测设备国产化成为我国发展集成电路产业的关键之一,关系到我国能否拥有产业自主权。 党的二十大报告指出,坚持面向世界科技前沿、面向经济主战场、面向国家重大需求、面向人民生命健康,加快实现高水平科技自立自强。以国家战略需求为导向,集聚力量进行原创性引领性科技攻关,坚决打赢关键核心技术攻坚战。 “多年来,我们始终专注于高精度显示芯片检测的国产替代,致力于成为国内一流的工业检测、产线自动化及人工智能创新检测解决方案的提供商。”武汉森蓝智能科技有限责任公司(以下简称“森蓝智能”)创始人、CEO傅敏介绍,作为一家专注人工智能系统产品研发、生产、销售、运维的高新技术企业,核心团队来自厦门大学、武汉理工大学、华中农业大学等高校,具有多年工业信息化、芯片缺陷检测系统的开发经验,拥有多项人工智能领域高水平论文、著作权以及国家专利。 傅敏说,在半导体制造过程中,芯片检测是提升产品良品率和效率的重要环节,“目前国内现有检测设备不能完全满足国内半导体产业现阶段的发展需求,又面临国际市场的诸多限制。因此,不管从国家安全还是产业需求角度出发,检测设备的国产替代都成了行业共识”。 该项目聚焦于半导体光学外观缺陷检测,利用人工智能检查制造工艺的合规性,高精度、高效地检测出产成品的缺陷。针对半导体芯片缺陷检测“缺陷难发现”“检测精度与效率低”和“新缺陷易遗漏”三大技术难点,森蓝智能研发了“自适应光源控制系统”“基于分治策略的人工智能视觉识别算法”和“正样本学习”三大核心技术,在效率、精度、人力和成本上取得了极大的优势,将检测精度率稳定在99.9%以上。 “与国外领头企业动辄百万元的服务费用相比,我们在保证检测精度的同时,拥有更低的价格和更快的反应速度。”傅敏介绍,目前该产品已经具备批量交付能力,近两个月内已交付3台订单,并已获得三安光电及聚灿光电的20台订单。随着AOI外观机的交付,该公司成功研发了第二款标准化产品自动镜检机。同时,项目被列为“国家科技计划路演行动”重点支持项目。
  • 紧凑型全固态半导体泵浦激光打标机研制成功
    近日,由长春新产业光电技术有限公司研制成功的紧凑型全固态半导体泵浦激光打标机,倍受市场青睐。   激光打标是指利用激光束使打标表面物质气化或发生化学物理变化,从而显出刻蚀图形和文字的方式。与传统标记方式相比,激光打标技术具有标记速度快、字迹清晰永久、污染小、无磨损、操作方便、防伪能力强、可以做到高速自动化运行等优点,因此在工业领域逐渐从电加工进入光加工时代的今天,激光打标已被广泛应用到各种加工领域,包括五金制品、金属器皿、精密机械、汽车配件、电子器件、集成电路块、食品包装、刀具、礼品、钟表、电脑键盘等产品的表面,必将代替传统的标记工艺,给产品注入新的活力。   目前市场上,激光打标机根据工作方式不同可分为灯泵YAG激光打标机、半导体侧泵激光打标机、半导体端泵激光打标机、光纤打标机等。其中,半导体端泵激光打标机不仅可以实现更为精细的打标效果,而且更加具有体积小、价格低的优势。   长春新产业光电技术有限公司是依托中科院长春光机所设立的高新技术企业,成立于1996年3月主要从事半导体泵浦全固态激光器的研发、生产和销售,其全固态激光器产业化规模和产品技术水平近年来一直保持国际先进、国内领先水平,产品遍布全球81个国家和地区,同类产品的国际市场占有率约为30%,国内市场占有率70%以上。紧凑型全固态半导体泵浦激光打标机的研制是公司依托原有半导体泵浦全固态激光器方面的技术优势,逐步实现对激光器下游产品的开发,进一步促进固体激光技术及其器件的应用发展,而且将带动晶体材料、半导体材料、光电器件工艺、加工领域的发展,其带来的直接效益和二次效益都会对国民经济和地区发展带来新的活力。   该公司研制成功的紧凑型全固态半导体泵浦激光打标机,发光源采用半导体列阵,光光转换效率高 采用特殊耦合泵浦方式,光源结构更加紧凑 热耗损低,无需单独配备冷却系统,是目前国内同类产品中体积最小的设备。
  • TOC半导体解决方案(一):品控好帮手—multi N/C总有机碳分析仪
    随着半导体技术的进步,设备微型化和集成化程度不断提高,对制造环境的要求也越来越高。特别是在先进工艺节点(如7nm、5nm及更小节点)中,高TOC(总有机碳)水平可能导致晶圆表面污染,从而引发缺陷,影响最终产品的性能和良品率。因此,加强TOC监控已成为半导体制造过程中的重要环节。在半导体制作工艺中,80%以上的工序要经过化学处理,而每一道化学处理都离不开超纯水,用于半导体工艺的超纯水,根据美国ASTM D5127-2013(2018)标准,一级电子级别水的TOC通常要求低于5ppb,GB/T 11446.1-2013要求一级电子级水低于20ppb。同时,生产工艺过程中电镀液有机污染物的控制也很重要,不能超过一定的限值(3000-5000ppm)从而保证PCB(印制电路板)的品质。半导体行业TOC分析难点:超纯水中低TOC浓度的准确测定电镀液中高TOC浓度高盐样品的准确测定不同数量级浓度样品不能一次测定维护成本高、耗材贵德国耶拿解决方案:难点突破1:VITA流量管理系统氧化过程中会引起气体流速波动,德国耶拿采用VITA流量控制系统,集成式高性能气体控制盒确保稳定的气体流速,通过电子控制实时调整气体流量计数,有效的补偿流速波动。可以实现高达20ml的大体积进样,显著提高痕量分析范围内测定结果的精密度和灵敏度,检出限低至ppb级别。案例一:超水TOC测定对超纯水样品进行直接测定,SD为0.272 μg/L ,检出限为3SD=0.816 μg/L,远低于国家对一级电子水的限值要求,可以满足超纯水中低TOC含量的准确测定。难点突破2:可靠高效的样品消解系统+高聚焦NDIR检测器高能长效紫外消解系统,采用高能双波长紫外氧化254+185nm波长,更高能量,保证样品完全消解为 CO2。德国耶拿首创的高聚焦NDIR检测器,改变了传统光源需要通过管壁折射后到达检测器的设计,平行光源无机械移动、能量无损失、量程宽,测量范围0-30000mg/L,满足高TOC浓度高盐样品等多种类型样品的测试需求。案例二:电镀液中TOC测定对3个电镀液样品进行直接测定,同时电镀液2#和电镀液3#做100ppm和1000ppm的加标回收率实验,从实验结果可以看出,结果重复性佳,电镀液2#和电镀液3的加标回收率为103%和101%,说明仪器对于高浓度的电镀液能准确测定,耐盐性好。难点突破3:一个测试方法同时连接3条标准曲线为了解决不同梯度样品只能连接一条曲线,或是需要对样品进行稀释和富集的前处理繁琐工作,我们设计了一个测试方法可以同时连接低中高浓度的标准曲线的功能,仪器会自动匹配合适的曲线,使测试结果更加准确可靠;同时,我们也提供同个样品盘选择不同方法的功能,满足您测试的需求,大大减少前处理的时间。难点突破4:少耗材+开放性试剂高能长效紫外灯和高聚焦NDIR检测器,寿命长,低故障,低损耗,低维护。采用半导体物理制冷模块去除水分,无耗材;电路和液体完全分开,客户可以放心自己更换耗材,无需专业工程师上门。测试用到的试剂均为开放试剂,可以自行购买,成本低,经济实惠。综上,德国耶拿multi N/C 总有机碳分析仪是半导体行业品控好帮手,可以有效控制半导体生产过程中TOC水平,维持化学工艺的稳定性,降低因缺陷造成的返工和材料浪费,提高最终产品的性能和良品率。更多精彩敬请期待~
  • 国家半导体质检中心1382万元采购大单公布
    广东华伦招标有限公司 受 广东省质量技术监督局的委托,对国家半导体光源产品质量监督检验中心(广东)检测设备采购进行公开招标采购,欢迎符合资格条件的供应商投标。   一、采购项目编号:0809-1241GDG12737   二、采购项目名称:国家半导体光源产品质量监督检验中心(广东)检测设备采购   三、项目内容及预算: 分包 名称 采购预算(人民币) 1 车灯分布光度计等 660万元 2 气相色谱仪-质谱仪联用仪 227万元 3 光生物安全测试系统等 364万元 4 辐射抗干扰测试系统升级及EMC设备 131万元   检测设备一批(见采购项目内容)   投标人可对本项目全部或部分分包进行投标,但同一分包不得拆分。   四、供应商资格:   1、供应商应具备《政府采购法》第二十二条规定的条件   2、具有独立承担民事责任能力的中华人民共和国境内法人   3、本项目不接受联合体投标   五、请投标人凭企业法人营业执照、税务登记证、组织机构代码证的复印件(加盖公章)到广东华伦招标有限公司购买招标文件。   六、符合资格的供应商应当在2012年11月9日8时30分起至2012年11月29日17时00分止(办公时间内,法定节假日除外)到广东华伦招标有限公司(广州市广仁路1号广仁大厦6楼)购买招标文件,招标文件每套售价150元(人民币),售后不退。   七、投标截止时间:2012年11月30日10时00分(9:00时开始递交投标文件)   八、投标文件递交地点:广州市广仁路1号广仁大厦6楼   九、开标评标时间:2012年11月30日10时00分   十、开标评标地点:广州市广仁路1号广仁大厦6楼广东华伦招标有限公司开标大厅   十一、招标文件公示/下载:文件名称   采购人:广东省质量技术监督局   地址:广州市南田路563号   联系人:陈先生   电话:020-84242749   传真:020-84444953   邮编:510220   代理机构联系人:党小姐   电话:020-83172166   传真:020-83172223   联系地址:广州市广仁路1号广仁大厦六楼   邮编:510030   广东省质量技术监督局   广东华伦招标有限公司   2012年11月9日
  • 山西新政:走出一条具有山西特色的半导体及集成电路产业发展之路
    近日,山西省政府发布《关于促进半导体产业高质量发展引导集成电路产业健康发展的指导意见》(以下简称“《指导意见》”)。《指导意见》指出,近年来山西省委、省政府高度重视半导体及集成电路产业发展,将半导体产业作为省十四个战略性新兴产业之一,高点谋划、高位推进,打造了中国电科(山西)电子信息创新产业园、忻州半导体产业园、长治光电产业园、晋城光机电产业园等半导体产业集聚区,培育了一批骨干企业,在砷化镓、碳化硅等化合物半导体材料,碳化硅单晶生长炉等半导体装备,短波红外探测器、深紫外LED、LED照明及显示模组等半导体器件方面形成了比较优势,产业规模从无到有,影响力逐步扩大,形成了良好发展态势。当前,半导体及集成电路产业正进入重大调整变革期。新形势下,山西省半导体及集成电路产业发展既面临较大的挑战,也迎来了难得的机遇。应充分发挥该省的比较优势,营造良好发展环境,补齐短板、精准发力,走出一条具有山西特色的半导体及集成电路产业发展之路。《指导意见》提出的主要任务和发展重点包括:(一)积极培育设计产业。深化我省与京津冀、长三角、粤港澳大湾区等地区的开放合作和产业承接,形成协同联动发展、互惠互利共赢新格局.依托山西省北京大学科技创新基地、山西-大湾区创新中心等“科创飞地”,积极引进一批具有全国影响力、竞争力的设计企业.大力发展半导体及集成电路设计服务外包.重点支持射频芯片、传感器芯片等专用器件的开发设计.支持星载激光功率放大器等新技术的研发与应用。(二)发展壮大制造产业。深入推进声表面波滤波器、微波功率放大器、短波红外探测器、深紫外LED、LED显示及照明、航空级MEMS传感器、锑化物光电芯片等重点领域的研制生产.重点面向5G通信、航空航天、物联网、新能源汽车等新兴产业领域,布局建设高性能射频器件、功率器件、光电器件等生产线,打造差异化竞争优势。(三)延伸发展封测产业。适应半导体设计与制造工艺节点的演进升级需求,大力引进国内龙头封装测试企业落地山西,提高产业集中度.结合我省产业优势,突破MiniLED封装、MicroLED封装等技术,完善专用芯片及光电器件封测技术,重点发展公共卫生防控深紫外固态半导体光源、背光源封测等产业,扩大LED产品量产规模。(四)做大做强材料产业。发挥我省资源和能源优势,紧跟市场需求,引进技术领先的知名企业,发展大硅片晶圆等第一代半导体材料产业,聚焦低缺陷砷化镓晶体材料、高纯半绝缘碳化硅单晶衬底材料、氮化镓材料等第二/三代半导体材料,扩展封装材料、靶材、高纯试剂、电磁屏蔽材料等半导体产业相关新材料,前瞻布局新一代半导体材料研发,探索铝矾土、镓等原材料与半导体材料产业一体化发展思路,打造具有世界影响力的半导体材料产业新高地。(五)加快发展半导体装备。加强半导体制造企业和装备企业的协作,引进国家级团队、国内龙头企业与我省企业共建研发中心,增强产业配套能力.重点发展面向高端光刻机的深紫外和极紫外激光器等新装备.积极开展大尺寸高纯半绝缘4H-SiC单晶设备、电子级金刚石生长设备、半导体先进封装关键工艺设备、高精度无损检测关键设备、MOCVD核心设备等的研制,支撑我省半导体产业高速发展。(六)促进产业融合发展。鼓励和支持龙头企业向产业链上下游延伸,积极促进设计、制造、封测、材料等环节紧密合作.推动泛半导体产业全产业链融合发展,以晋中、吕梁、长治为重点,整合提升硅片等光伏制造产业链和配套体系,打造光伏制造全产业链生态体系.支持企业通过数据共享、核心技术攻关、产品应用等方式开展强强合作,推动半导体及集成电路产业与我省信创、大数据融合创新、软件业等产业协同发展,构建融通发展的大信息产业生态。为支持产业发展,《指导意见》提出要有序引导产业健康发展,包括强化项目建设指导、强化人才保障、强化资金落实等;保障措施包括加强组织领导、优化政策环境、加快市场应用、加快园区和重大项目建设等。
  • 打造半导体材料精准研究仪器
    每个人都想拥有“充电五分钟,通话两小时”的理想手机。而这个简单的“理想”却代表着对电子产品中半导体材料和器件性能的极致追求。手机里芯片快速数据运算过程和电池能量存储过程都发生在只有头发丝直径万分之一的纳米尺度下,而这些过程会影响到产品的工作稳定性和服役寿命。  研制高效绿色能源器件和低功耗信息功能器件,亟待从纳米尺度深入研究和理解材料结构与器件性能之间的构效关系,阐明纳尺度多场耦合条件下材料的性能退化与损伤机理、器件的功能退化与失效机理。  近年来,围绕这一前沿基础科学问题,北京科技大学材料科学与工程学院教授、中国科学院院士张跃团队在国家重大科研仪器研制项目(自由申请)的支持下,开展了“纳尺度多场耦合效应的原位表征系统”研制工作。  日前,项目顺利通过结题验收,该仪器的成功研制,将实现对半导体材料在服役过程中各项指标的原位监测。研制过程中,科学家率先实现了在超高真空、极低温、多波段光谱入射采集、纳牛级应力精确加载等条件下,材料微观原子结构、界面能带结构、器件电学性能的跨尺度原位表征,填补了纳尺度多场耦合原位表征技术的空白。张跃院士(中)、张铮教授(左)与项目组成员在工作中。(研究团队供图)  以国家需求为牵引  在材料科学领域,材料的服役行为研究并不陌生,包括澄清服役环境中材料的损伤机理、认识其损伤动力学过程、评价工程结构的安全性与服役寿命、发展延长寿命的技术等。  过去几十年来,研究者已经在金属材料体系中建立起成熟的材料服役研究和表征方法,监测其服役过程中的各项指标,从而对材料的服役可靠性进行精准判断。  但是,针对半导体材料服役行为的研究却面临较大挑战。据研究人员介绍,一方面,随着芯片集成度提升,半导体器件中材料越来越小,如今单个晶体管核心结构单元的尺寸小于10纳米 另一方面,随着可穿戴设备和便携设备的发展,半导体材料与器件的工作环境越来越复杂,冷热交替、潮湿、弯折与柔性等环境都对材料和器件有了更加严苛的要求。他们意识到,建立能在多场耦合服役条件下针对低维半导体材料的结构和性能演变规律进行原位研究的方法与技术手段,对研制下一代半导体材料与器件尤为重要。  与此同时,国家未来发展对于下一代半导体材料与器件也有重大现实需求。在这样的背景下,研究团队决定自主研制一台新仪器,以在纳尺度多场耦合效应下进行半导体材料和器件性能调控与服役行为原位科学研究。  2016年,在国家自然科学基金资助下,国家重大科研仪器研制项目(自由申请)“纳尺度多场耦合效应的原位表征系统”正式立项。  研究人员向《中国科学报》介绍,研制这一新仪器的难点在于,通过多物理场耦合模拟半导体材料和器件的实际工作条件,从纳尺度原位揭示半导体材料与器件界面载流子输运行为与调控规律。同时,通过材料结构和界面的精细设计,提高半导体器件性能,设计构筑低功耗、高性能的半导体器件,研究建立纳尺度下半导体材料与器件的服役行为研究方法与评价标准。  “研以致用”的实践精神  项目执行的5年中,研究团队坚持设计、制造、研究、验证协同的全链条研究思想,直面建立微纳尺度结构与宏观器件性能之间的关联性这一重大挑战,陆续攻克和解决了多项关键科学和技术难题。  据了解,科研团队始终坚持“研以致用”的工作作风,以应用为导向开展科学研究。  “材料研究不能仅仅停留在‘测一条曲线’上,坚持往前多想一步、争取多做一步,是我们团队多年养成的研究习惯。”项目团队成员、北京科技大学材料科学与工程学院教授廖庆亮介绍说。  正是在“多一步”思想的指引下,研究团队周密布局、仔细论证、充分验证,把每一分钱都花在刀刃上。项目启动之初,研究团队就组建了由业内顶尖专家组成的咨询组,严格把关项目进度和研制方案。  同时,他们走访了30多家国内外生产表征仪器设备的企业和机构,寻求从科学研究到实际应用的经验。同时,充分发挥现有研究平台的作用,遵循模块化设计理念,分模块、分功能逐一验证,陆续研制了多台套功能验证装置,逐个测试了装置和功能的可行性、验证方案的合理性,最终经过多轮论证,形成了系统的研究方案。  具体而言,根据这套设备的目标,研究团队设计了超高真空低温探针、共聚焦激光耦合、设备监控与信号测量等三个子系统。  其中,“超高真空多功能低温探针”“多通道样品台和多功能探针联动耦合系统”“下沉式杜瓦和针阀制冷系统”“三段调节的共聚焦耦合光路”等多项国际领先的“黑科技”,把各项指标做到了极限。  “设备的真空水平实现了10-8Pa的超高真空,温度达到4K的极低温环境,可以模拟太空中地月之间的真空和温度水平,为开展空天探测用半导体材料与器件研究提供了良好的研究平台。”项目团队成员、北京科技大学材料科学与工程学院教授张铮介绍。  此外,该团队还格外注重设备研制的通用性。据了解,这套系统能够广泛应用于能源、电子、传感等领域的功能器件在多场耦合条件下关键结构单元的演变规律和性能调控方法的研究。  用“工匠精神”死磕  “要做世界领先、功能完备、性能最优先的设备,而不仅仅是完成指标要求。”项目启动之初,团队就立下了“军令状”。  为了让先进的科研仪器能够真正用起来,研究团队除了要提出原创的科学思想外,还要直面许多工程和技术难题。为此,他们在可借鉴经验较少的情况下,以兢兢业业的“工匠精神”“死磕”这些难题。  项目团队成员、北京科技大学材料科学与工程学院教授康卓介绍,要表征电子输运行为如何受到外加物理场耦合的影响,必须同时布局力、热、光、电等多个外加物理场的发生装置及相应的表征与测量装置。而在狭小空间中,多个装置互相干扰问题、光路与探针的空间分配问题、超高真空中装置稳定与兼容问题等是最大挑战。为此,项目组通过设计下沉式的制冷装置、多通道样品台和多功能探针系统,巧妙地解决了多路信号同时采集的问题。  摆在项目组面前的另一个重大挑战是光路的耦合问题。在对材料进行光学表征时,需要将一束激光打到材料表面,让其发生变化,然后形成反射光。从科学原理上,要求这两束光走一条严格准直的光路,最终从激光源发出、经样品反射、到达探测器上,才能实现表征。  “对于我们的设备而言,在被检测材料所处的环境中,一束激光需要穿过10个反射镜组成的光路调节镜组、1个直径为1.5厘米的石英玻璃窗口、3个垂直排列的直径小于1厘米的屏蔽舱顶盖,最后准确抵达直径为0.5厘米的物镜后焦面光心,整个光路距离将近1米。在这个距离实现两束激光的准直,就好像一个神枪手在打靶,光路每偏转0.1度都会导致入射和反射光出现巨大偏差。”康卓介绍说。  为了解决这一问题,项目组前后设计了十余套解决方案,从全手动目视调节到成像辅助调节,设计了水平自由度的精确位置控制平台,建立了分步调节方法,最终完美解决了光路耦合的重大问题。  2020年项目接近尾声,突遇新冠疫情袭来,研制进度受到了严重影响。为了赶工期,研究团队的老师和学生们加班加点。“早上一大早就来跟设备‘报到’,晚上深更半夜才回家睡个觉,基本上日夜都和设备呆在一起。”张铮和同事开玩笑,“这台设备就是我的‘孩子’。”  在研究团队的共同努力下,该设备最终按期完成任务指标要求。在2021年5月国家自然科学基金委工程与材料科学部组织的结题验收中,专家组一致认为该项目“全面完成计划,研究工作取得突出进展”,综合评价为“优秀”。  面向未来,研究团队表示,目前的设备仅是1.0版本,在调试和使用的过程中,设备从系统、软件、硬件等多方面将不断简化、优化,经过几年时间的调试,有望达到成果转化需求。  他们期待,该设备能为研制低功耗晶体管、高效率光电转换器件、自驱动可穿戴人工智能传感器件等提供技术支撑。
  • 匠心如一,滨松中国半导体实验室在沪开幕
    2023年6月28日上午,滨松中国半导体实验室在浦东新区举行了开业庆典。滨松中国总经理以及众多半导体行业龙头企业、相关产业专家和其他研究院合作单位出席了此次活动。该实验室致力于为中国华东地区的半导体客户提供更加直观的产品展示平台、全方位的技术支持基地以及技术交流的场所,全面助力泛半导体合作伙伴的蓬勃发展,该实验室的正式启用也对滨松中国布局半导体检测产业,进一步开拓中国半导体检测市场具有重要里程碑意义。滨松中国半导体实验室的成立是继上海X射线检测实验室之后的第二个本土化实验室,更是滨松中国在后疫情时代“立足中心、辐射全国”发展战略的重要举措,将全面负责中国半导体客户技术支持,产品交流以及产品开发等工作。中国半导体行业在过去十年的发展中,经历了“两次”重大的改变,从原有求“量”的规模化发展到如今求“质”的精细化发展,从原有局限于中低端产品的“制造”到如今追求高端产品的“自主研发”,十年间的每一步都走得坚定且有力,这每一点进步,每一点成就都要求我们在分析检测相关的方法、设备、技术方面不断地磨练升级。十年间,滨松中国在半导体行业里面的探索从未停歇。为了把国际先进的检测和分析产品第一时间介绍给国内客户,为了快速解决半导体客户目前存在痛点问题,为了给国内客户提供更加贴合其需求的本土化技术服务,滨松中国从2021年就开始在半导体客户聚集的上海浦东新区张江地区筹划建立属于国内半导体客户自己实验室,如今终落成。实验室四大功能展区滨松中国半导体实验室具备以下四大功能展区:1、失效分析实验室:配备了PHEMOS-X、IPHEMOS-MPX等高端半导体检测设备,主要用于半导体失效分析产品展示、样品测试、配置方案确认等;PHEMOS-X:滨松新推出的高精度微光显微镜,也是未来失效定位的主流产品,可以实现高端制程芯片精确失效定位。除了配置更加丰富的激光扫描光源之外,还配置高压,高低温等各种定制化探针台,满足各类芯片的失效分析需求。IPHEMOS-MPX:高精度倒置微光显微镜产品,主要面向晶圆级或者复杂电路设计芯片的失效定位分析工作,具备微光发射,热发射,激光诱导发射,纳米镜头,固态浸润镜头,动态失效定位等多种分析方法集成一体的特点。2、超净间实验室:十万级超净间,配备了MiNY PL、MiNY EL等高端半导体检测设备,主要用于Mini/Micro LED巨量检测产品展示,样品测试以及配置方案确认等;MiNY PL:光致发光巨量检测设备,主要用于MiNi/Micro LED巨量快速在线检测,能实现传统电致发光方式不能达到的,每颗样品都可以独立测试的效果,大大提升了MiNi/Micro LED坏品检出率,实现早检出,早处理,降低后道工序修补成本。MiNY EL:电致发光测试设备,主要用于MiNi/Micro LED电致发光检测,可以实现快速、自动化测试,是MiNi/Micro LED产品质控抽检、中试线工艺研发、PL/EL结果对比修正、屏体产品final test以及失效分析的利器。3、备品间:主要用于备品备件存储,保证在常规流程的检测下,可以第一时间为客户提供检测服务,以及必要的备品备件更换服务。4、会议区:利用多媒体展示方式,为了来访客户提供全方位的技术培训、报告解析、产品培训、技术交流等服务。搭建三个平台滨松中国希望利用半导体实验室与国内客户一起搭建三个“平台”:1、展示平台:第一时间引入国内外的高端半导体实验设备、技术与国内客户一同观摩共享,与国内客户一起进步和成长;2、培训平台:为滨松半导体用户提供基础、进阶培训,让客户深入了解滨松半导体设备使用的各方面信息,解决产品使用过程中可能存在的潜在疑虑,提升客户使用感受,提升产品使用效率。除了产品使用前的培训,在产品使用中的相关维护、维修等内容,滨松中国也力求为客户提供“100%”满意的服务;3、验证平台:定制化方案或者技术验证平台,为客户提供更加丰富的、可定制化的产品方案和技术服务。配套使用的第三方产品或者技术验证平台,为客户提供经过验证的、可靠的整体解决方案。发展四个方向滨松中国成立于2011年,是滨松集团在中国的市场与商务活动中心,全面负责滨松产品在中国销售、技术支持、售后服务,累计服务客户超过6500家,在上海、深圳、武汉均已成立分公司并且在这些地区还建立了一系列自有或联合实验室,以实现更快速、更贴合本土化需求的服务。滨松中国会持续提升半导体实验室软硬件水平,使其成为为中国半导体客户服务的平台和基地,更好地助力中国半导体市场的发展,在未来的发展当中会朝着“丰富”“高效”“灵活”“开放”这四个方面发展,即持续增加半导体检测相关产品以及滨松新发布的产品和技术展示;定期开展用户培训会,为滨松用户介绍更加高效的分析技巧和软件使用方式;为潜在客户开展定制化样品测试,找到最适合每位客户的产品配置方案;邀请行业内专家和用户交流半导体检测和失效分析方面的经验技术,为客户提供一个“畅所欲言”的平台。,“不断探索未知未涉”是滨松一直以来秉承的使命,滨松希望在光子一途,可以与越来越多的能人志士携手前行,一起推动中国光子产业的未来。
  • 闲聊半导体行业和芯片人的“黑话”(上)
    2000年入行,总觉得自己对“电镜”的认知再正常不过了,直到接触了半导体行业和芯片的量测,才知道在这个电镜的细分领域,早已是独立王国,“自成一体”了,这个“王国”的特征就是有了自己的“行话语言”;对于不太熟悉这些行话的半导体小白,一开始就跟听“黑话”没什么两样。从“正常“电镜人的讲话入手,带点粒子束显微仪器Charged Particle Microscopy和设备中的以电子束ebeam做光源的,可以做显微成像和显微分析,叫Microimaging & Microanalysis;这要是到了半导体的Fab就要讲“黑话”了:在线检测关键线宽Critical Dimension的电镜要叫“Metrology”,对应的专用设备叫“CD-SEM”;离线检测wafer缺陷的Defect要叫“Inspection”,对应的专用设备叫”EBI“;最后还要专门做最后的缺陷复检叫“Review”,对应的专用设备叫”Review-SEM“或”EBR“。这里提到的“Fab”里的是Fabrication的缩写,正常讲是"加工"或"制造"的意思,和Manufacture一样;到了半导体的Fab就特指“晶圆制造厂”了,就是制造集成电路IC Integrated Circuit的厂子,其中制程,又叫技术节点、或工艺节点Technology Node高的俗称就叫芯片了;所以我们经常听到的芯片,就是一种高制程的集成电路了;Fab就是这个制造流程的前道工序发生的地方,属于“Foundry”,就是我们常说的芯片代工厂了,也就是接受了客户委托,生产客户自有权利的芯片产品的厂子了;具体点说,就是客户提供光罩Mask,又叫光掩模,母版,交由Foundry来生产制造;造好了的wafer就叫Chip,再拿去切割Dicing、封装Packaging、和测试Testing,最后出来的最小销售单位就是芯片了;拥有芯片自主产权的公司Fabless,将成品出售给客户,并向Foundry支付代工费用;这种纯粹代工,不涉及销售的方式在国际间较通常的称呼就叫硅代工Silicon Foundry;而在另一端,只做设计和销售的公司不做Fab,所以叫Fabless,拥有芯片的IP Intellectual Property;或者你财大气粗,从芯片设计到制造、封装,直到最后销售,什么都包圆的,就叫IDM Integrated Device Manufacture了;简单可以理解为:Fabless+ Foundry=IDM。我们知道,晶圆和wafer是一码事,尚未被“刻”的原材料wafer黑话又叫“大硅片“;做半导体材料的各个分支里,把沙子变成硅单晶棒的工序,黑话叫”拉棒“,再磨外圆、切片、倒角、打磨、进扩散炉,做成12”,或8英寸或6 Inches的wafer,送进Foundry里的Fab厂;Foundry里有精密的各类前道加工设备,加上细心的作业,最后出来的Chip才能达到艺术品一样的品质;Fab在Foundry内部也叫"晶圆区",如进去"Fab"之前须穿上防尘衣,等等;拜登一行在520刚穿着西装参观了三星的Fab,让人大跌眼镜;行家的解读是至少三个意思:一是给LAM和KLA打了广告;二是AMAT总是妄想和TEL合并之后迁都荷兰,这次特意让AMAT一面都不漏,给它提个醒,敲打一下;三是在最先进的存储产线居然不穿净化服,肯定人走了之后要花时间重新除尘,如果不是摆拍,三星产能肯定受到影响,正好借机宣布下一轮涨价;一趟政治意味浓重的参观又带出了一堆“黑话”,这次的都跟半导体设备厂家有关:AMAT就是Applied Material,又叫应用材料;LAM是“Lam Research”,又叫泛林半导体;KLA就是“KLA-Tencor”,又叫科磊;TEL就是“Tokyo Electron Limited”,又叫东京电子。作为半路出家的半导体人,好不容易把上面这些“黑话”掰扯清楚了,哪知道拔出萝卜带出泥,一条“黑话”需要更多的来支撑,所以新的又来了一大堆;在上面提到的Fabless设计领域,有个充满“痞气”的黑话叫“流片”,又叫 TapeOut;实际指的就是芯片的“试生产”;就是说设计完集成电路以后,先生产几片几十片,只供测试用;如果测试通过,就照着这个样子开始大规模生产了;上面提到的Mask,可以叫光刻掩模版;如果把光刻芯片工序看作“印钞”,Mask就是印刷的模板,这个“母版”就是半导体制程中的“印钞”模具;制造一颗芯片要用到的Mask绝对不止一张,现在的高级制程很容易就超过20张的;简单理解就是基本每加一层堆栈就上了个光罩,20层堆栈Multi-Patterning的芯片很可能需要20张Mask,每一层刻蚀完成就换上一张Mask;值得一提的是,在换下一张的Mask曝光之前,就是芯片多层堆栈结构量测的节点;前面提过,量测的对象是关键线宽,又叫关键尺寸,这里最关键的尺寸是LG Length of Gate,也就是要必须用到CD-SEM的节点了;场效应晶体管FET Field Effect Transistor制程中率先需要被安排在最底层的的三极结构中,通过掺杂Doping,使源极Source电性与底材P-Si相反的,就是漏极Drain,“黑话”也叫汲极;源漏之间的栅极Gate,充当开关的作用,所以又叫闸极;Gate不能太宽,更怕太窄,是关键尺寸量测的大头。芯片的良率,又叫Yield,的好坏取决于关键线宽的准确度;慢着,“Yield”不是我们“正常人”熟悉的术语“产额”吗?比如大家耳熟能详的二次电子SE和背散射电子BSE的产额……;所以这里需要吐槽的是,半导体的“黑话”是可以粗暴“跨界”的。上面提到的更换Mask之间的CDSEM线上检测,半导体“黑话”叫AEI蚀刻后检查,即After Etching Inspection;这个工序发生在刻蚀制程中光阻PR去除前和去除后之间,分别对产品实施主检或抽样检查;目的有四:一是提高产品良率Yield,避免不良品外流;二是达到品质的一致性和制程的重复性;三是显示制程能力的指标;四是防止异常扩大,节省成本。通常AEI检查出来的不良品,非必要时很少做修改;因为除去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加、生产成本增高,反而事与愿违,导致整体良率降低。再回来接着聊“流片”Tape out这个词,虽是“黑话”,但也不是能随便用的,往往在实验性生产和验证性生产中才用“流片”这个词;一般来说Tape out的模式有2大类:一类是多家拼一起的MPWMulti-Project Wafer,另一种是专用的全晶圆流片;前者因为成本低,一般实验流片用;后者成本高,一般用于批量生产。关于MPW,可以参考上海集成电路促进中心(ICC)的相关业务介绍:2010年1月21日,上海集成电路技术与产业促进中心就推出了这个“多项目晶圆”,也就是MPW服务了;可不要小看这个服务,MPW可以使流片费用降低九成以上;对广大的中小型Fabless初创企业是一大福音。这里顺便提一句,自从“川建国”同志到任之后,国内的Fabless公司一再蹿升,已经有了近万家了。下节我们接着聊些有趣的半导体“黑话”,我们会更加深入芯片制造的世界......
  • 投资5000万 国家半导体光电产品检测重点实验室将正式运行
    5月30日,记者从江门检验检疫局获悉,经过近一年的紧张筹建,明日,落户江门的华南地区目前唯一一家“国家半导体光电产品检测重点实验室”将在首届中国(江门)绿色(半导体)光源国际博览会上正式揭牌运行。   据了解,国家半导体光电产品检测重点实验室总投资5000多万元,由海归博士担当实验室技术带头人。实验室投入使用后将在检测技术的研发、应用等方面发挥作用,推动LED产品相关检测标准、行业标准以及国家标准的制订,为企业的自主创新提供服务平台,为企业拓展市场提供支撑。
  • “高效半导体照明关键材料技术研发” 重大项目取得突破
    p   半导体照明作为战略性新兴产业,是我国发展低碳经济、调整产业结构及绿色发展的重要途径之一。“十二五”期间,在863计划新材料技术领域,支持了“高效半导体照明关键材料技术研发”重大项目。近日,863计划新材料技术领域办公室在北京组织专家对该项目进行了验收。 /p p   “高效半导体照明关键材料技术研发(一期)、(二期)”项目开展了Si和蓝宝石衬底上高光效低成本的LED外延和产业化关键技术、芯片及封装应用技术等方面的研究,研制出高质量SiC和GaN衬底、深紫外LED器件、高效白光OLED器件和灯具、高质量GaN外延材料、高功率LED薄膜倒装器件等成果,获得了拥有自主知识产权的高品质白光照明产品,实现了高纯金属有机化合物(MO)源、荧光粉、硅胶等关键材料的国产化,以及LED在植物生长、医疗等领域的应用。 /p p   “十三五”期间,为加快推动材料领域科技创新和产业化发展,科技部制定了《“十三五”材料领域科技创新专项规划》,在新材料技术发展方面,重点发展战略性电子材料、先进结构与复合材料、新型功能与智能材料,满足战略性新兴产业的发展需求。在战略性电子材料发展方向中对第三代半导体材料与半导体照明技术进行了系统布局,重点研究内容包括:大尺寸、高质量第三代半导体衬底和薄膜材料外延生长调控规律,高效全光谱光源核心材料、器件和灯具全技术链绿色制造技术,超越照明和可见光通讯关键技术、系统集成和应用示范,高性能射频器件、电力电子器件及其模块设计、工艺技术及应用示范,核心装备制造技术等。 /p
  • 科研赋能:珀金埃尔默助力半导体材料研发
    近年来中国在半导体领域的发展已经取得了一定的成就,想进一步的突破,仍面临着很大的挑战,限制中国半导体发展的关键因素集中在半导体设备和先进材料等方面。在材料方面,包括光刻胶、前驱体、硅材料、电子化学品等,是技术壁垒高的半导体关键材料,亟待广大科研单位及相关企业进行攻关。对这些关键材料的研发过程中,包括材料的优化开发、作用机理探究、定性定量分析、材料性能评估以及质量控制等,都需要使用各类分析手段。珀金埃尔默(PerkinElmer)作为分析仪器领先的全球供应商,广泛和深入的服务于全球研究机构和企业,助力半导体材料的研发。 珀金埃尔默分析技术在半导体材料研发中的应用 △点击查看大图 1 光刻胶 光刻胶是半导体制造和微电子制造中的关键材料之一,其研发和生产是半导体产业链中的关键环节,对于提升半导体制造工艺的精细度和效率具有重要意义。 光刻胶中金属元素杂质的存在会对其感光性能和成品质量产生影响,如降低分辨率、增加胶层的不均匀性等。光刻胶主要成分是树脂、光引发剂,单体等,主要成分都是有机物。在使用ICP-MS分析光刻胶中的金属杂质时,遇到的主要挑战是仪器对有机试剂的耐受能力以及反应池消除质谱干扰的能力。为了避免前处理可能带来的污染,通常采用有机溶剂稀释后直接进样的方式测试。珀金埃尔默NexION系列ICP-MS采用独有的34 MHz频率,使等离子体具有更强的趋附效应,中心通道更宽,有机类样品在经过等离子体时解离更完全,仪器测试有机样品时具有更好的稳定性。 NexION ICP-MS点炬状态直接进空气不熄炬, 体现出强大的基体耐受能力 △点击查看大图 同时,在进行ICP-MS分析时,光刻胶中大量的碳、作为等离子体的氩等会带来严重的质谱干扰,如12C12C+对24Mg+的干扰、12C15N+对27Al+的干扰,40Ar12C+对52Cr+的干扰、40Ar16O+对56Fe+的干扰等,NexION系列ICP-MS具有化学分辨能力,其核心就是采用具有专利技术的配备轴向加速电压的四极杆作为反应池,配合使用反应活性强的纯氨气作为反应气,在反应模式下能够彻底消除干扰,保证测试结果的准确度,达到精确评估光刻胶质量的目的。 光刻胶中受干扰元素典型检出能力 元素 检出限(DL/ppt) 背景等效浓度(BEC/ppt)Mg 0.05 0.20 Al 0.07 0.35 Cr 0.32 0.78 Fe 0.26 0.65 轴向加速四极杆通用池技术, 确保质谱干扰的去除 △点击查看大图 曝光动力学研究对于光刻胶的研发异常关键,因为其效能直接决定了制程良品率和生产效率。利用紫外光谱能够监测光刻胶在曝光过程中发生的光化学反应,通过跟踪特定化学键或官能团的变化,研究人员可以评估光刻胶的反应动力学和光化学稳定性。高性能紫外-可见-近红外分光光度计 (辅助建立DILL透光模型) △点击查看大图 为了更加准确原位模拟光刻胶在不同紫外-可见波段下的曝光历程,可采用差示扫描量热分析仪(DSC)和紫外光源联用进行分析,两者的联用,适合用于研究光刻胶的固化动力学过程,为研发更加稳定可靠的新一代无机金属氧化物复合光刻胶提供准确热力学数据支撑。 紫外光-差示扫描量热分析仪 △点击查看大图 在光刻胶配方开发过程中,出色的分析手段将极大帮助研究人员获取反馈信息。单独的手段往往具有局限性,比如热重(TG)没有结构定性能力,因此研究人员往往只能依靠个人的主观经验推测每个分解温度区间所产生组分的化学结构归属,这对于光刻胶配方逆向开发和性能优化等领域的应用存在较大的不确定性。而单独的红外(FTIR)或者气质(GC/MS)均存在单一温度维度测试的局限性,无法有效的还原温度维度或实现原位检测的要求。而采用分析技术的联用,就可以实现设备间的“协同效应,扬长避短”,比如热重引入的温度维度可以结合红外或气质的定性能力,赋予实时分析光刻胶组分随温度的动态逸出过程,做到原位监测、还原真实的反应/分解过程,应用于光刻胶配方开发和环境颗粒物的相互作用研究。 热重/红外/气质(TGA/IR/GC/MS) 联用逸出气体测试平台 △点击查看大图 2 前驱体前驱体是半导体薄膜沉积工艺的主要原材料,在薄膜、光刻、互连、掺杂等半导体制造过程中,前驱体主要应用于气相沉积(包括物理沉积PVD、化学气相沉积CVD和原子气相沉积ALD),以形成符合半导体制造要求的各类薄膜层。此外,前驱体也可用于半导体外延生长、刻蚀、离子注入掺杂和清洗等,是半导体制造的核心材料之一。 前驱体介绍 分类 示例 用途 硅前 驱体 TEOS(正硅酸乙酯)、DIPAS(二异丙胺硅烷)、4MS(四甲基硅烷)等 用于多晶硅/氧化硅/氮化硅薄膜沉积 金属 前驱体 TFMAT(四(二甲基胺基)钛)、TiCl4(四氯化钛)等 用于各类金属化合物薄膜沉积用ICP-MS对前驱体样品中金属杂质分析时,由于样品中的金属元素杂质含量低,稀释倍数受到限制,导致前处理后的溶液样品中总固体溶解含量(TDS)较高,对ICP-MS耐盐能力提出了很高的要求。珀金埃尔默NexION系列ICP-MS采用独特的大锥孔三锥设计(TCI)和90度四极杆离子偏转技术(QID),配合全基体进样系统(AMS),具有更加优异的基体耐受能力,以及更加优异的长期稳定性。 (a)大锥孔三锥设计(TCI) 和90度四极杆离子偏转技术(QID) (b)NexION ICP-MS优异稳定性 (2000 ppm 硅中35元素100ppt) △点击查看大图 前驱体中高基体的硅(Si)或金属(如Ti)也会产生严重的质谱干扰,比如高硅会对磷(P)、钛(Ti)、镍(Ni)等。利用NexION 系列ICP-MS的化学分辨能力,可以很好的实现前驱体中痕量杂质分析。 (a)高硅基体中对相关元素的质谱干扰 (b)NexION ICP-MS 典型受硅基体干扰元素分析 △点击查看大图 3 硅基材料 半导体硅基材料的研发是半导体集成电路发展的核心,集成电路制造技术已进入了后摩尔时代,传统硅基材料在尺寸微缩极限下遇到的关键挑战,是造成集成电路工艺复杂性和系统设计难度显著提升的重要因素。发展新材料(如三代半导体SiC等),探索与硅基技术兼容的新材料、新结构器件集成制造技术,是未来集成电路的重要发展趋势,也是后摩尔时代集成电路发展的主要技术路线之一。 利用晶圆表面分解技术(VPD)与NexION 系列ICP-MS结合,不仅可以对晶圆表面金属杂质分析,也可以对晶圆进行剖面分析。得益于NexION系列ICP-MS出色的性能,每平方原子数检出能力可达105。 (a)硅片经VPD处理后照片 (b)硅片表面金属杂质 分析 (c)掺硼硅片剖面分析 △点击查看大图 配备 MappIR 晶圆分析系统的珀金埃尔默Spectrum 3,不仅可以快速和简易的实现硅基材料中的碳和氧的杂质分析,还可以对涂层、电介质以及外延膜进行测量。 (a)Spectrum 3 FT-IR 和 MappIR 系统 (b)不同工艺硅片 光谱差异比较 (c)硅片中碳和氧分析 △点击查看大图 4 NexION 系列ICP-MS 电子化学品标准操作方法 △点击查看大图
  • 荏原开发了一种可用于半导体产线的无汞臭氧监测仪
    荏原株式会社宣布,已开发出2种环保型无汞臭氧监测仪。该公司开发、设计、制造和维护正确使用臭氧所需的臭氧监测仪,以及结合了预处理系统和臭氧监测仪的臭氧浓度测量设备,以便在各种条件下进行精确测量。 它被用于许多领域,例如供水和污水处理设施的先进处理工艺以及半导体工厂的制造工艺。 为了应对社会对环境的日益关注,新开发的产品组的特点是采用UV-LED作为光源,在实现无汞使用的同时,实现高精度测量。第一类新产品是EG-3100系列,这是一款用于水和污水处理设施的高精度臭氧监测仪,它不含汞,并采用公司独特的发光校正技术,实现了与低压汞灯相同的精度。 除了提供涵盖水净化过程中臭氧处理中所有气体测量点的产品阵容外,该公司还实现了高精度和高分辨率,因此可以应用于研发应用。第二种是EG-690,这是一款用于半导体制造工艺的在线臭氧监测仪,与EG-3100系列一样,不含汞,并达到与低压汞灯产品相同的精度。 此外,它具有占地面积小的特点,可以在线安装在半导体制造工艺(生产线)的臭氧气体管道中,适用于设备嵌入。EG-3100 系列和 EG-690 的订单计划于 2024 年 4 月开始。
  • 俄乌危机恐冲击半导体关键原材料供应,中国厂商有望受惠
    眼下,俄罗斯与乌克兰之间的紧张局势不断升级,美国、加拿大等国家纷纷撤出本国外交人员并呼吁在乌公民回国。美国国务卿布林肯表示,俄罗斯入侵乌克兰的威胁很大,且迫在眉睫。 考虑到乌克兰、俄罗斯是全球半导体制造用特种气体生产大国,美国白宫此前便警告,芯片业应为俄罗斯断供做好准备。今日(2月13日),国金证券也发布半导体材料行业研报,称乌俄关系紧张、地缘政治风险有可能影响半导体材料的供应。 根据国金证券的分析,美国、欧洲的8英寸、12英寸晶圆厂受到影响的概率较大,中国大陆/台湾、韩国、日本等非相关国家和地区,由于半导体气体和材料的获取渠道畅通,反而有机会受惠于短期内市场份额的提升。 或影响电源管理芯片、功率半导体等终端产品 国金证券提到的材料特指光刻气和钯金属。 据了解,不同的光刻气和电压可产生不同波长的光,经过聚合、滤波处理后便形成光刻机的光源,这直接决定了光刻机的分辨率范围。 光刻气大部分为稀有气体及氟之间的混合气,常见光刻气包含氩/氟/氖混合气、氪/氖混合气、氩/氖混合气、氩/氙/氖混合气等等,对配比精度与纯度的极高要求直接导致了光刻气的技术难度升高。 在光刻气市场上,乌克兰可谓举足轻重。资料显示,乌克兰供应的氖气约占全球70%,并且供应全球约40%氪气,和30%的氙气。其中,氖气和氪气都可用于KrF镭射,该工艺主要用于8寸晶圆250~130nm成熟制程。 目前,250~130nm制程产品包括电源管理芯片(PMIC)、微机电系统(MEMS)及MOSFET组件、IGBT等功率半导体组件。在目前全球缺芯仍未缓解的背景之下,这必然将进一步加剧缺芯问题。 而另一热议材料“钯”是航空航天、核能、汽车制造中的关键材料,在半导体中多用在后道封装环节。世界上只有俄罗斯和南非等少数国家出产。据美国电子材料市场调查公司Techcet,美国35%的钯来自俄罗斯。 美国政府已经着手制定应急方案 机构并非危言耸听,根据美国贸易委员会(ITC)的数据,在2014年克里米亚半岛局势紧张的时期,氖气价格一度上涨了600%。事实上,部分特种气体的价格已经开始上涨。目前根据百川盈孚价格跟踪数据,我国氖气(含量99.99%)价格已从2021年10月份的400元/立方米上涨到目前超过1600元/立方米。 有外媒爆料,消息人士称,美国白宫警告芯片行业,防俄罗斯以限制关键原材料供应的方式,报复美国可能采取的制裁行动。白宫国家安全委员会成员最近几天一直在与芯片行业人士接触,了解俄罗斯和乌克兰芯片制造材料的供应情况,并敦促他们寻找其他来源。 而芯片和电子制造供应商集团SEMI负责全球公共政策的副总裁Joe Pasetti,已经向成员发送了一封电子邮件,要求评估芯片制造重要原材料的供应风险。 中国厂商有机会受惠 国金半导体团队分析师认为,后续如果有相关氖气、氪气和氙气的供应风险,下游晶圆厂的寻求替代供应商需要半年以上时间验证,会面临新的短料风险。 根据国金证券的分析,美国、欧洲的8英寸/12英寸晶圆厂受到影响的概率较大,中国大陆/台湾、韩国、日本等非相关国家和地区,由于半导体气体和材料的获取渠道畅通,反而有机会受惠于短期内市场份额的提升。 进一步地,国内华特气体,凯美特气可供应光刻气体,华特气体供应光刻用的氪氖混合气、氟氖混合气等气体;凯美特气供应相关稀有气体,氖、氪、氙及混合气体等,如果乌克兰氖气、氪气等供应受阻,国内华特气体、凯美特气有望受益。 国内康强电子供应半导体封装电镀丝;上游高纯钯的供应商主要有贵研铂业、中金环境等公司,但其产品主要用于汽车尾气催化剂、再生资源材料等方面。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制