当前位置: 仪器信息网 > 行业主题 > >

显影液

仪器信息网显影液专题为您整合显影液相关的最新文章,在显影液专题,您不仅可以免费浏览显影液的资讯, 同时您还可以浏览显影液的相关资料、解决方案,参与社区显影液话题讨论。

显影液相关的资讯

  • 半导体材料无机非金属离子和金属元素解决方案——光刻胶篇
    半导体材料无机非金属离子和金属元素解决方案——光刻胶篇李小波 潘广文 近年来,随着物联网、人工智能、新能源汽车、消费类电子等领域的应用持续增长以及5G的到来,集成电路(integrated circuit)产业发展正迎来新的契机。集成电路制造过程中,光刻工艺约占整个芯片制造成本的35%,是半导体制造中最核心的工艺。涉及到的材料包括多种溶剂、酸、碱、高纯有机试剂、高纯气体等。在所有试剂中,光刻胶的技术要求最高。赛默飞凭借其在离子色谱和ICPMS的技术实力,不断开发光刻胶及光刻相关材料中痕量无机非金属离子和金属离子的检测方案,助力光刻胶产品国产化进程。从光刻胶溶剂、聚体、显影液等全产业链,帮助半导体客户建立起完整的质量控制体系。 光刻胶是什么?光刻胶又称抗刻蚀剂,是半导体行业的图形转移介质,由感光剂、聚合物、溶剂和添加剂等四种基本成分组成。将光刻胶旋涂在晶圆表面,利用光照反应后光刻胶溶解度不同而将掩膜版图形转移到晶圆表面,实现晶圆表面的微细图形化。根据光刻机的曝光波长不同,光刻胶种类也不同。 光刻相关材料光刻相关材料主要有溶剂、显影剂、清洗剂、刻蚀剂和去胶剂,这些材料被称为高纯湿电子化学品,是集成电路行业应用非常广泛的一类化学试剂。光刻胶常用溶剂有丙二醇甲醚/丙二醇甲醚醋酸酯(PGME/PGMEA)、甲醇、异丙醇、丙酮和N-甲基吡咯烷酮(NMP)等。常见的正胶显影剂有氢氧化钠和四甲基氢氧化铵等,对应的清洗剂是超纯水。 光刻胶及光刻相关材料中金属离子、非金属阴离子对集成电路的影响半导体材料拥有独特的电性能和物理性能,这些性能使得半导体器件和电路具有独特的功能。但半导体材料也容易被污染损害,细微的污染都可能改变半导体的性质。通常光刻胶、显影液和溶剂中无机非金属离子和金属杂质的限量控制在ppb级别,控制和监测光刻工艺中无机非金属离子和金属离子的含量,是集成电路产业链中非常重要的环节。 光刻胶及光刻相关材料中无机金属离子、非金属离子的测定方法国际半导体设备和材料产业协会(Semiconductor Equipment and Materials International,SEMI)对光刻胶、光刻工艺中使用的显影剂、清洗剂、刻蚀剂和去胶剂等制定了严格的无机金属离子和非金属离子的限量要求和检测方法。离子色谱是测定无机非金属离子杂质(F-、Cl-、NO2- 、Br-、NO3- 、SO42-、PO43-、NH4+)最常用的方法。在SEMI标准中,首推用离子色谱测定无机非金属离子,用ICPMS测定金属元素。赛默飞凭借其离子色谱和ICPMS的领先技术,紧扣SEMI标准,为半导体客户提供简单、快速和准确的光刻胶和光刻相关材料中无机金属离子和非金属离子的检测方案,确保半导体产业的发展和升级顺利进行。针对光刻胶及光刻相关材料中痕量无机非金属离子和金属元素的分析,赛默飞离子色谱和ICPMS提供三大解决方案。 方案一 NMP、PGMEA、DMSO等有机溶剂中痕量无机金属和非金属离子的测定方案 光刻胶所用有机溶剂中无机非金属离子的限量要求低至ppb~ppm级别。赛默飞离子色谱提供有机溶剂直接进样的方式,通过谱睿技术在线去除有机基质,一针进样同时分析SEMI标准要求监控的无机非金属离子。整个分析过程无需配制任何淋洗液和再生液,方法高效稳定便捷,避免了试剂、环境、人员等因素可能引入的污染。ICS 6000高压离子色谱有机试剂阀切换流路图 滑动查看更多 光刻胶溶剂中ng/L级超痕量金属杂质的测定,要求将有机溶剂直接进样避免因样品制备过程引起的污染。由于 PGMEA 和 NMP具有高挥发性和高碳含量,其基质对ICPMS分析会引入严重的多原子离子干扰,并对等离子体带来高负载。iCAP TQs ICP-MS 中采用等离子体辅助加氧除碳,并结合冷等离子体、串联四级杆和碰撞反应技术,可有效去除干扰。变频阻抗式匹配的RF发生器设计,可轻松应对有机溶剂直接进样,并可实现冷焰和热焰模式的稳定切换。 冷焰TQ-NH3模式测定NMP中Mg热焰TQ-O2模式测定NMP中V NMP、PGMEA有机溶剂直接进样等离子体状态未加氧(左),加氧(右) 方案二 显影液中无机金属离子及非金属离子测定方案 光刻工艺中常用的正胶显影液是氢氧化钠和四甲基氢氧化铵,对于这两大碱性试剂赛默飞推出强大的在线中和技术,样品仅需稀释2倍或无需稀释直接进样,避免了样品前处理引入的误差和污染,对此类样品中阴离子的定量限达到10ppb以下。这一方法帮助多家高纯试剂客户解决了碱液检测的技术难题,将该领域的高纯试剂纯度提升到国际先进水平。中和器工作原理四甲基氢氧化铵TMAH是具有强碱性的有机物,作为显影液的TMAH常用浓度为2.38%, 为了避免样品处理中引入的污染,ICPMS通常采用直接进样方式测定。在高温下长时间进样碱性样品,会导致腐蚀石英炬管,引起测定空白值的提高。iCAP TQs使用最新设计的SiN陶瓷材料Plus Torch,耐强酸强碱,可一劳永逸地解决碱性样品中痕量金属离子的测定。新型等离子体炬管Plus Torch 方案三 光刻胶单体和聚体中卤素及金属离子测定方案 光刻胶单体和聚体不溶于水,虽溶于有机试剂但容易析出,常规方法难以去除基质影响。赛默飞推出CIC在线燃烧离子色谱-测定单体和聚体中的卤素,通过燃烧,光刻胶样品基质被完全消除,实现一次进样同时分析样品中的所有卤素含量。燃烧过程实时监控,测定结果准确稳定,满足光刻胶中痕量卤素的限量要求。图 CIC燃烧离子色谱仪SEMI P32标准使用原子吸收、ICP光谱和ICP质谱法来测定光刻胶中ppb级的Al Ca Cr 等10种金属杂质,样品前处理可采用溶剂溶解和干法灰化酸提取两种方法。溶剂溶解法是使用PGMEA等有机溶剂将样品稀释50-200倍,超声波振荡充分溶解后,直接进样测定。部分聚合物较难溶解于有机溶剂中,将采用500-800度干法灰化处理,并用硝酸溶解残留物提取。iCAP TQs采用在样品中添加内标工作曲线法测定,对于不同基质样品及处理方法的样品可提供准确的测定结果。 总结 针对集成电路用光刻胶及光刻相关材料,赛默飞离子色谱和ICPMS提供无机非金属离子和金属离子杂质检测的完整解决方案,为光刻胶及高纯试剂客户提供安全、便捷可控的全方位支持。“胶”相辉映,赛默飞在行动,助力集成电路产业发展,促进光刻胶国产化进程,欢迎来询! 参考文献:1.SEMI F63-0521 GUIDE FOR ULTRAPURE WATER USED IN SEMICONDUCTOR PROCESSING2.SEMI P32-1104 TEST METHOD FOR DETERMINATION OF TRACE METALS IN PHOTORESIST3.SEMI C43-1110 SPECIFICATION FOR SODIUM HYDROXIDE, 50% SOLUTION4.SEMI C46-0812 GUIDE FOR 25% TETRAMETHYLAMMONIUM HYDROXIDE5.SEMI C72-0811 GUIDE FOR PROPYLENE-GLYCOL-MONO-METHYL-ETHER (PGME), PROPYLENE-GLYCOL-MONO-METHYL-ETHER-ACETATE (PGMEA) AND THE MIXTURE 70WT% PGME/30WT% PGMEA6.SEMI C33-0213 SPECIFICATIONS FOR n-METHYL 2-PYRROLIDONE7.SEMI C28-0618 SPECIFICATION AND GUIDE FOR HYDROFLUORIC ACID8.SEMI C35-0118 SPECIFICATION AND GUIDE FOR NITRIC ACID9.SEMI C36-1213 SPECIFICATIONS FOR PHOSPHORIC ACID10.SEMI C44-0618 SPECIFICATION AND GUIDE FOR SULFURIC ACID11.SEMI C41-0618 SPECIFICATION AND GUIDE FOR 2-PROPANOL12.EMI C27-0918 SPECIFICATION AND GUIDE FOR HYDROCHLORIC ACID13.SEMI C23-0714 SPECIFICATIONS FOR BUFFERED OXIDE ETCHANTS
  • 涉及880台仪器设备,德州仪器扩能项目详情曝光
    近日,德州仪器半导体制造(成都)有限公司凸点加工及封装测试生产扩能项目(二期)竣工验收。该二期工程建设内容包括:在集成电路制造厂(FABB)新增凸点加工产能18.7975万片/年(全为常规凸点产品),在封装测试厂(AT)新增封装测试产能 10 亿只/年(均为常规QFN产品)。二期工程建设完成后,扩能项目新增凸点加工产能33.3975万片/年(全部为常规凸点33.3975万片/年),新增封装测试产能 21.48 亿只/年(其中常规QFN 15.48 亿只/年,WCSP 6 亿只/年)。仪器信息网通过公开文件查阅到该项目的相关仪器设备配置清单和工艺流程。FABB 集成电路制造厂主要生产设备清单.封装测试厂(AT)主要生产设备清单生产工艺:1、凸点加工晶圆凸点是在封装之前完成的制造工艺,属于先进的封装技术。该工艺通过在晶圆级器件上制造凸点状或球状结合物以实现接合,从而取代传统的打线接合技术。凸点加工制程即从晶圆加工完成基体电路后,利用涂胶、黄光、电镀及蚀刻制程等制作技术通过在芯片表面制作铜锡凸点,提供了芯片之间、芯片和基板之间的“点连接”,由于避免了传统 Wire Bonding 向四周辐射的金属“线连接”,减小了芯片面积,此外凸块阵列在芯片表面,引脚密度可以做得很高,便于满足芯片性能提升的需求,并具有较佳抗电迁移和导热能力以及高密度、低阻抗,低寄生电容、低电感,低能耗,低信噪比、低成本等优点。 扩能项目凸点包括普通凸点和 HotRod 凸点两种,其主要区别在于凸点制作所采用的焊锡淀积技术不同,普通凸点采用植锡球工艺,工艺流程如下图所示,Hot Rod 凸点采用电镀锡银工艺,工艺流程如下图所示。扩能项目凸点包括 RDL(Redistribution Layer)、BOP-on-COA(Bump on Pad – Copper on Anything)、BOP(Bump on Pad)、BOAC (Bond Over Active Circuit)、 BOAC PI (Bond Over Active Circuit with Polyimide)、Pb-free HotRod,上述各类凸点结构如下图所示,主要区别为层次结构和凸点类型不同。扩能项目各类凸点结构示意普通凸点加工主要工艺流程及产污环节注:普通凸点产品中的 BOAC 不含灰化、回流焊与助焊剂去除工艺Hot Rod 凸点加工主要工艺流程及产污环节凸点加工的主要工艺流程简述如下:(1)晶圆检测分类(wafer sorting):对来料晶圆进行检测,主要是检测晶圆有无宏观缺陷并分类。(2)晶圆清洗(incoming clean):由于半导体生产要求非常严格。扩能项目清洗工艺分为两种工艺,第一种仅使用高纯水,另一种使用 IPA 清洗,清洗后再用纯水进行清洗。IPA 会进入废溶剂作为危废收集,清洗废水进入中和废水系统进行处理。(3)烘干(Dehydration bake):将清洗后的晶圆烘干。该工序产生的烘干废气通过一般废气排气系统排放。 (4)光刻(Photo)扩能项目采用光刻机来实现电镀掩膜和PI(聚酰亚胺)层制作,包括涂胶、曝光,EBR和显影。涂胶是在晶圆表面通过晶圆的高速旋转均匀涂上光刻胶(扩能项目为光阻液和聚酰亚胺(PI))的过程;曝光是使用曝光设备,并透过光掩膜版对涂胶的晶圆进行光照,使部分光刻胶得到光照,另外部分光刻胶得不到光照,从而改变光刻胶性质;显影之前,需要使用EBR对边缘光阻进行去除。显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上形成了沟槽。通过曝光显影后再进行烘干,晶圆表面可形成绝缘掩膜层。扩能项目该制程使用了各类光阻液、聚酰亚胺、EBR、显影液及纯水,完成制程的废液统一收集,作为危废外运处置。显影液中由于含有四甲基氢氧化铵,将产生少量的碱性废气,由于其浓度很低,扩能项目将其通入酸性废气处理系统进行处理;显影液及显影液清洗水排入中和废水处理系统。光刻工艺示意图(5)溅射(SPUTTER)溅射属于物理气相沉积(PVD)的一种常见方法,即金属沉积,就是在晶圆上沉积金属。UBM(凸点底层金属)是连接焊接凸点与芯片最终金属层的界面。UBM 应在芯片焊盘与焊锡之间提供一个低的连接电阻。为了形成良好的 UBM,一般采用溅射的方法按顺序淀积上需要的金属层。扩能项目采用 Ti:W 合金-Cu 的顺序进行溅射。溅射示意图(6)电镀(Plate)凸点电镀根据需求,可单纯镀铜,也可镀铜、镍、钯或镀铜、锡银,镀层厚度也有差异,可为铜膜或铜柱。扩能项目普通凸点电镀工艺包括镀铜膜、镀镍和镀钯。扩能项目 HotRod 凸点电镀工艺包括电镀底层铜(plateCOA,Copper on Anything)、电镀铜柱(plate Cu POST)、电镀锡银。基本的电镀槽包括阳极、阴极、电源和电镀液。晶圆作为阴极,UBM的一部分作为电镀衬底。在电镀的过程中,铜、锡银溶解在电镀液中并分离成阳离子。加上电压后,带正电的 Cu2+、Sn2+、Ag+迁移到阴极(晶圆),并在其表面发生电化学反应而淀积出来。电镀工艺原理示意图如下:电镀工艺示意图扩能项目采用的铜、镍阳极为颗粒状,会全部消耗,不产生废阳极;扩能项目使用的镀钯、锡银阳极是镀铂钛篮,呈网状支架作为电镀阳极,不消耗也不更换,镀银采用烷基磺酸盐无氰镀银工艺。 阳极金属如下图所示:电镀阳极实物图b.电镀操作过程进机台→将每片晶圆上到杯状夹具上→用超纯水预湿→镀铜→清洗→镀锡银(或镀镍→清洗→镀钯)→清洗→甩干→出机台。c.电镀清洗扩能项目电镀清洗采用单槽快速喷洗,清洗水直接排入废水处理系统,不重复利用,清洗废水排入 FABB 一楼电镀废水处理系统进行处理,保证处理设施出口一类重金属排放达标。清洗过程中产生有机废气排入有机废气处理系统统一处理。d.电镀槽液更换项目对电镀槽中电镀液离子浓度定期检测,适时添加化学药剂,保证电镀液可用。使用一段时间后,因电镀液中悬浮物浓度升高,需对电镀液进行更换。扩能项目依托 FABB 一层现有的2个2m³的电镀废液收集槽将电镀废液全部收集暂存,委托有资质的危废处理公司外运处置。电镀废液约半年排放一次,年排放量约为 3.5m³,因此收集槽的容积可满足废液收集需求。(7)去光阻(Resist stripping)电镀完成后,利用光阻去除剂去除电镀掩膜光阻,依次使用 NMP 与 IPA 进行湿式清洗,最后用纯水进行清洗,清洗后进行干燥。干燥通过自燃烘干或者 IPA吹干。(8)蚀刻(ETCH) 将凸点间的 UBM 刻蚀掉。扩能项目采用湿法腐蚀。湿法腐蚀是通过化学反应的方法对基材腐蚀的过程,对不同的去除物质使用不同的材料。扩能项目采用过氧化氢作为 Ti-W 合金的腐蚀材料,普通凸点采用硫酸腐蚀铜,含锡银凸点采用磷酸腐蚀铜,产生的含磷的酸性废水排入 CUB5c 氢氟废水处理系统进行处理,不含磷的酸性废水排入中和系统进行处理。蚀刻完成后,使用气体吹扫晶圆表面进行去杂质。(9)灰化(Ash)剥离光掩膜的过程可以使用干燥的、环保的等离子工艺(‘灰化’),即用氧 等离子体轰击光掩膜并与之反应生产二氧化碳、水等物质使其得以剥离。该过程 产生一般热排气,排入一般排气。(10)凸点制作晶圆凸点工艺最主要的 3 种焊锡淀积技术是电镀、焊锡膏印刷以及采用预成 型的焊锡球进行粘球。RDL、BOP、BOAC 等凸点采用粘球工艺(Ball place),粘 球的一般操作过程为,首先在晶圆表面涂抹一层助焊剂,然后将预先成型的焊锡 球沾在助焊剂上,接着进行检查,确保每个晶粒都沾有焊锡球。Hot Rod 等凸点 焊锡淀积技术采用电镀锡银工艺。回流(reflow),该过程将焊料熔化回流,使凸点符合后续封装焊接要求。最 后,再使用纯水对助焊剂进行清洗去除(Flux wash)。助焊剂清洗废水排入中 和废水系统进行处理。(11)自动检测(AVI) 对凸点加工完的晶圆进行自动检测,确认是否有缺陷。至此,晶圆上的凸点 制作完成。 (12)晶圆针测(Probe)在凸点完成后,晶圆上就形成了一个个的小格,即晶粒。针测(Probe)是对每个晶粒检测其导电性,只进行通电检测操作,没有任何化学过程。不合格晶粒信息将被电子系统记录,在接下来的封装和测试流程中将不被封装。扩能项目晶圆针测工序全部在 OS5 进行。(13)包装(Packing):利用塑料盒、塑料袋等对完成凸点的晶圆进行简单包装,然后进入AT厂房进行封装(后工序)。2、封装测试QFN 封装测试QFN 封装即倒装式四周扁平无引脚封装(QFN,Quad Flat No lead Package),扩能项目 QFN 封装包括传统 QFN 封装和 FCOL QFN 封装(Flip Chip on Lead frame QFN Package,框架上倒装芯片封装)。传统 QFN 封装和 FCOL QFN 封装的结构如图所示。传统 QFN 封装和 FCOL QFN 封装结构对比覆晶框架QFN在工艺流程上相较传统QFN主要区别在芯片与载板框架的连接方式,传统 QFN 通过金属导线键合,覆晶框架 QFN 通过芯片倒装凸点键合,相比传统工艺新增助焊剂丝网印刷、覆晶结合、助焊剂清洗、等离子清洗等工艺,以下对 QFN 封装的工艺及产污进行表述。贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保 护晶圆的电路表面。该工序可能产生废胶带。(1)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每 秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯 水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水 处理站进行絮凝沉淀+中和处理。(2)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。 (3)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗 粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(4)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(5)烘干:使用背面涂层烘烤设备将膜层烘干。(6)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(7)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000 转每秒)或激光将晶圆切割成符合规定尺寸的晶粒(die)。刀片的金刚石颗粒 大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。激光划片属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性 参数优于机械切割方式,用超纯水进行硅屑冲洗。(8)UV 照射:使用 UV 照射机进行 UV 照射使粘结剂失去黏性达到去膜的目的。(9)点银浆:将银浆点到框架上以备粘合用;(10)粘片:将芯片置入框架点银浆处;(11)银浆固化:在氮气保护环境下烘干固化,将芯片牢固的粘结在框架上;(12)引线键合:使用金线或铜线将芯片电路 Pad 与框架引脚 Lead 通过焊接的方法连接起来,实现电路导通,焊接采用超声波焊接,无焊接烟尘产生,主要产污为废引线。(13)助焊剂丝网印刷:在密闭机台内用丝网将助焊剂印刷到引线金属框架上,无排气。丝网采用 IPA 清洗,清洗有有两种情况,一种是用设备自动清洗,IPA 会喷到丝网上,然后用棉布擦拭,擦拭布吸收 IPA 及丝网上的脏物后就当作 危废处理,没有废液,设备是密闭的,不连接排气;另外一种是人工擦拭,会在 化学品通风橱内操作,也是用棉布擦拭,没有废液产生,通风橱连的一般排气。(14)覆晶结合:将晶圆 IC 反扣在引线金属框架上,让锡银铜柱对准丝网印刷的助焊剂。(15)回流焊:将覆晶结合后的芯片放在氮气保护的回焊炉内按一定的温度曲线通过该炉,使用回流焊的方式实现晶圆 IC 与引线金属框架的焊接,该过程使用的助焊剂无挥发性物质,后续使用专用清洗剂进行清洗。(16)助焊剂清洗:使用助焊剂清洗剂洗掉回流焊残留的助焊剂并用水冲洗干净。设备自带清洗废气冷凝装置,冷凝液进入废水处理系统,不凝气接入现有一般排气系统。(17)等离子清洗:使用等离子清洗剂激发氧氩等离子体实现更高级别的彻 底清洗,将残留的微量氧化层清洗干净,清洗废气接入现有一般排气。 (18)塑封固化:使用环氧树脂对 IC 进行外壳封装。(19)去毛刺:去除塑封外壳毛刺并进一步烘烤固化成型将塑封固化好的芯片置入有机盐溶液中去除塑封外壳毛刺及溢出料,产生去毛刺废水。(20)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商 的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将 产生打印粉尘和硅粉。(21)切带:切开胶带使单个晶粒分离。(22)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查 产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流 电运行测试、目视检查,以及运行速度测试等。(23)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(24)终检:使用最终检测设备进行终检。(25)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。传统 QFN 工艺流程及产污环节FCOL QFN 工艺流程及产污环节2、WCSP 封装WCSP 封装(Wafer Chip Scale Packaging,晶圆级封装),即在晶圆片未进 行切割划片前对芯片进行封装,之后再进行切片分割,完成后的封装大小和芯片尺寸相同。此外,WCSP 封装无需载板框架,可直接焊接在 PCB 印制线路板上使用。凸点和针测完成后,晶圆即进入封装测试厂 AT 厂房进行 WCSP 封装及测试,主要工艺流程如下:(1)贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过 程中保护晶圆的电路表面。该工序可能产生废胶带。(2)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每 秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯 水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水 处理站进行絮凝沉淀+中和处理。(3)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。(4)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗 粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀 +中和处理。(5)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面 被胶带保护、支撑。该工序可能产生废胶带。(6)烘干:使用背面涂层烘烤设备将膜层烘干。(7)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(8)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的 履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(9)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000 转每秒)将晶圆切割成符合规定尺寸的晶粒。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。(10)激光切片:首先进行晶圆黏片,即在晶圆背面贴上水溶性保护膜然后进行切割。激光切割属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式;激光可以切割任意形状,如六角形晶粒,突破了钻石刀只能以直线式加工的限制,使晶圆设计更为灵活方便。切割过程中使用超纯水进行硅屑冲洗。 (11)UV 照射:使用 UV 照射机进行 UV 照射去膜。(12)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查 产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流 电运行测试、目视检查,以及运行速度测试等。(13)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(14)终检:使用最终检测设备进行终检。(15)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。WCSP 工艺流程及产污环节
  • 闯入影视圈的奥豪斯,与八一电影制片厂不得不说的故事
    摘要:奥豪斯化身跨界达人,跻身胶片复古潮儿!今天为你讲解奥豪斯与胶片电影那些事儿。 一部热映的《芳华》把一段被尘封的历史推到了我们面前,同时也把承载了那段岁月的八一电影制片厂推到了我们面前。 八一电影制片厂,在那些岁月里,拍摄了诸多经典的、有着时代烙印的电影。如《地道战》、《地雷战》、《南征北站》等。这些黑白色的经典影片,没有如今的数码技术,到底是怎么拍摄出来的呢? 这里就不得不提到电影史上非常重要的——胶片。 过去的电影,都是由胶片拍摄。胶片分为35mm,70mm等不同规格,利用光化学原理呈像,是影像的底片。制作胶片电影是非常精细、精致且宏大的一项工程,从拍摄、冲洗、剪辑、到成片,流程复杂,工艺精细。 (胶片摄影机快门曝光示意图) 首先讲几个关于胶片电影的冷知识: 1. 在2012年之前,国内还是胶片电影的天下,此后数字电影制作技术逐渐蓬勃,我们能看到的胶片电影越来越少了。 2. 手机美图软件的「菲林」效果其实就是胶片感。 3. 2017年最棒的一部胶片电影是由克里斯托弗诺兰执导的《敦刻尔克》,该片3/4为35mm胶片、70mm胶片、IMAX70mm胶片拍摄,画质细腻逼真。 4. 在胶片电影时代,一部90分钟长的电影要用4万米的胶卷,全国几百个院线同时放映,需要同数量的拷贝胶卷,合起来要几千万米。 5. 十几二十年前盗版电影很盛行,知道为什么吗?请看上一条。 6. 有电影人说:不是35mm胶卷(及以上)拍的电影,就是让观众在电影院里看电视剧。(近年来国内电视剧多采用数字拍摄,以节省成本、方便剪辑。) 7. 很多国家保密档案和文化研究资料的底稿都是胶片存底的。所以胶片电影也许会消失,但胶片不会消失。 8. 全国的电影胶片工已经不足五十人。(注释1) 9. 全国关闭了很多胶片冲洗厂,但八一电影制片厂还保留着冲洗设备,用来冲洗、修复老电影胶片和国家档案的底片与正片。(注释2) 10. 八一电影制片厂胶片冲洗室在冲洗胶片之前,需要按要求配制各类定影液显影液,其中最重要的就是测试溶液的酸碱度,这一关键步骤正是用奥豪斯ST系列酸度计完成的。 纳尼,洗个照片还要酸度计?这个你就不懂了吧,容小编给你讲解讲解。 这要从胶片的成像开始讲起。我们所说的胶片一般是银盐感光胶片,以光化学原理成像的胶片是这样构成的。 所谓的「银盐」,是指卤素与金属银形成的化合物的总称,如氯化银、溴化银和碘化银。 氯化银微溶于水,它对波长很短的紫色区域及紫外线感光。 溴化银对蓝色区域光线感光。碘化银的作用重在增加感光范围。 如添加碘化银和溴化银的混合乳剂,感光范围能达到毫微米。 所以银盐的存在,是为了在短期曝光内,通过光化学反应,在胶片上留下影像。(注释3) 胶片电影制作的每一环节都非常重要,但在拍摄水平、胶片质量不变的条件下,最能影响最终成像效果的则是胶片冲洗环节。 在胶片电影称王的时代,胶片冲洗厂遍地开花、昼夜运转。每一次冲洗都需要配备多种类、大分量的显影液、定影液等,根据显影效果不同,显影液和定影液的配方比例也有很不同。其中最重要的是各化学试剂的配比量和化学试剂的酸碱值。 对于显影效果来说,酸度值越低,显影效果越差,若值越高,显影越快,反差也越大。一般情况下,配出的冲洗液pH 值固定在8.4-8.7之间,就能满足绝大多数显影效果。 同时,配比的溶液温度要保证在℃-20℃之间,以保证胶片的质量,因溶液温度过高,洗出的胶片会有雾感;溶液温度过低,胶片容易变脆、易断裂。 在这样配制大量冲洗液的要求下,值的准确性就显得尤为重要。到如今,大批量胶片冲洗液的配制需求已经很少了,但对胶片冲洗液的品质追求,依然是胶片爱好者及国家胶片档案资料保护、修复的基本要求。 作为与胶片电影有着深厚渊源的八一电影制片厂,决定采购可以满足快速、稳定、准确」的奥豪斯ST系列pH 计,以完成如今小批量、精确度要求高的国家档案类胶片冲洗、老电影胶片修复、存储等工作。 那么奥豪斯系列酸度计到底有什么出色之处呢?小编就选择其中一款——ST3100台式酸度计,给大家讲讲那些闪闪发亮的功能吧! 1. 奥豪斯ST3100台式酸度计可达到0.01pH 的精度,可以满足更精确的冲洗液配制要求。 (精度可达到0.01pH) 2. 多次测试酸碱值实验中,最难判断的是电极状态好坏,但奥豪斯ST3100台式酸度计自带的电极状况表情提示符,通过「笑脸」、「哭脸」等简易表情,帮助操作人员在测试前快速判断电极状况,方便了配制操作。 3. 配制冲洗液的工作室空间有限,奥豪斯ST3100台式酸度计采用主机和电极分体式设计,操作起来非常灵活。 4. 奥豪斯ST3100台式酸度计有温度补偿功能和温度电极,即使暂时选择不带温度电极的酸度计,后续如果需要,也可以配备。同时还有缓冲液自动识别,对于常常要测溶液温度的冲洗液配制实验来说,这个设计非常有用。 5. 奥豪斯ST3100台式酸度计的按键与显示非常简单,不用说明书就可以自学自用。为了方便使用,还自带快速操作指南。 6. 奥豪斯ST3100台式酸度计还有三点校准,比两点校准的测试范围更广、操作更方便。 7. 奥豪斯ST3100台式酸度计自带背光显示,在光线昏暗、环境有限的情况下也可以清楚读数。 8. 奥豪斯ST3100台式酸度计还有自动终点功能。在测试完成之后,不需要人为判断读数再手动确定读数,仪器会自动进行读数,得到的测试结果更为准确。 得知奥豪斯系列台式酸度计可以在八一电影制片厂有用武之地,作为一名奥豪斯人,小编真的觉得很自豪啊。 胶片电影不是一种情怀,而是一颗匠心。百年奥豪斯仪器,也正是秉持着这样一份匠心来做每一款产品。奥豪斯系列台式酸度计能进入八一电影制片厂,能为越来越重要、专业的胶片冲洗事业发光发热,也算是「天作之合」吧! 想要了解奥豪斯还能跨界哪些领域吗,请联系我们! 参考文献:注释1-2:李晗. 电影胶片工的“迟暮时代”[Z].经济,2016年12月07日注释3:蒋懿龙.知其然知其所以然 胶片成分及原理解读.[Z]摄影之家,2015年9月14日
  • 动态表面张力在半导体行业的应用
    5G、人工智能、智慧交通等消费电子、汽车电子、计算机等应用领域的发展,对芯片的性能提出更高的要求,加快了芯片制程升级,从而带动了半导体行业的发展。半导体晶圆制造工艺包括清洗、曝光、显影、刻蚀、CMP(化学机械抛光)、切片等环节,需要用到各种特殊的液体,如显影液,清洗液,抛光液等等,这些液体中表面活性剂的浓度对工艺质量效果产生深刻的影响。动态表面张力在半导体晶圆清洗工艺的应用半导体晶圆清洗工艺要求芯片制造技术的进步驱动半导体清洗技术快速发展。在单晶硅片制造中,光刻,刻蚀,沉积等工艺后均设置了清洗工艺,清洗工艺在芯片制造进程中占比最大,随着芯片技术节点不断提升,对晶圆表面污染物的控制要求也越来越高。为了满足这些高的清洁度要求,在其中部分需要化学清洗的工序,清洗剂的浓度一定要保持在适当的浓度范围之内,成功的清洗工艺有两个条件:1. 为了达成所需的清洁效果,清洗剂的浓度需要在规定范围内。2. 在最后的漂洗过程后,须避免表面活性剂在硅晶圆上残留,残留的表面活性剂对后面的处理工艺会造成不利影响。清洗工艺的好坏直接影响下一道工序,甚至影响器件的成品率和可靠性,然而在清洗工艺过程中,工人往往疏于监控清洗和漂洗工序中表面活性剂的浓度,表面活性剂经常过量,而为了消除表面活性剂过量带来的不利影响,又往往要费时费力地增加漂洗工序阶段的成本。德国析塔SITA动态表面张力仪监控晶圆清洗工艺中清洗剂的添加德国析塔SITA动态表面张力仪通过动态表面张力的测试,建立清洗槽液的表面张力值与表面活性剂浓度关系曲线,进而实现通过监控晶圆清洗工艺中表清洗剂表面张力的变化来调整清洗剂的添加量,从而优化晶圆清洗工艺。动态表面张力在半导体晶圆切片工艺的应用半导体晶圆切片和CMP工艺要求晶圆切片工艺是在“后端”装配工艺中的第一步。该工艺将晶圆分成单个的芯片,用于随后的芯片接合(die bonding)、引线接合(wire bonding)和测试工序。在芯片的分割期间,金刚石刀片碾碎基础材料(晶圆),同时去掉所产生的碎片。在切割晶圆时某一种特殊的处理液会用于冷却工作时的刀片,这种处理液中会加入某种表面活性剂,以此来润滑刀片并移除切割过程中产生的碎片,改善切割品质、延长刀片寿命。在半导体晶圆CMP工艺中,利用机械力作用于晶圆片表面,同时研磨液中的化学物质与晶圆片表面材料发生化学反应来增加其研磨速率。抛光液是 CMP 技术中的决定性因素之一,其性能直接影响被加工工件表面的质量以及抛光加工的效率。在CMP抛光液中,一般使用水基抛光液作为加工介质,以去离子水作为溶剂,加入磨料(如 SiO2、ZrO2 纳米粒子等)、分散剂、pH 调节剂以及氧化剂等组分,每个组分都具有相应的功能,对化学机械抛光过程起到不同的作用。磨料通过抛光液输送到抛光垫表面后,在抛光垫和被加工表面之间同时受到压力作用以及相对运动的带动,通过对被加工表面形成极细微的切削、划擦以及滚压作用,对表面材料进行微量去除。磨料的形状、硬度、颗粒大小对化学机械抛光都具有重要的影响。分散剂是一种兼具亲水性与亲油性的界面活性剂,能够均匀分散一些不溶于液体的固体颗粒,对于抛光液而言,分散剂能够减少抛光液中磨料颗粒的团聚,提高抛光液中磨料的分散稳定性。德国析塔SITA动态表面张力仪监控晶圆切片和CMP工艺种特殊处理液和抛光液的添加目前在晶圆切片和CMP工艺中,监测切片过程中的特殊处理液和研磨液表面活性剂浓度往往容易出现问题,如果将样品送到第三方实验室进行检测,成本高,且有一定时差,无法快速矫正表面活性剂浓度。德国析塔SITA动态表面张力仪,可以建立液体表面张力值与表面活性剂浓度关系曲线。在几分钟内完成特殊处理液和研磨液动态表面张力的测量,进而可以量化数据呈现液体表面活性剂浓度,帮助工人迅速将实际值与期望值作比较,及时调整表面活性剂浓度。动态表面张力在半导体晶圆光刻工艺的应用半导体晶圆在光刻工艺中使用显影剂溶解光刻胶,将光刻胶上的图形精确复制到晶圆片上。四甲基氢氧化铵(TMAH)溶液是常用的显影剂,人们往往在四甲基氢氧化铵(TMAH)溶液中添加表面活性剂,以降低表面张力,改善光刻工艺中光刻胶的粘附性,改善光刻显影液对硅片涂胶面的润湿,使溶液更易亲和晶圆表面,确保一个稳定且不与表面几何形状相关的蚀刻过程。德国析塔SITA动态表面张力仪监控TMAH溶液表面活性剂浓度德国析塔SITA动态表面张力仪,可以建立TMAH溶液表面张力值与表面活性剂浓度关系曲线。通过快速连续监控TMAH溶液表面张力,并在设定的范围内自动比较数据,使用工人可以在表面活性剂浓度超出限定值后,短时间迅速反应采取相关措施。同时析塔SITA动态表面张力仪可对MAH溶液的润湿性能进行简便快捷的分析。操作简单、无需任何专业经验。动态表面张力在半导体晶圆蚀刻工艺中的应用在太阳能电池生产过程中,需要对晶圆进行蚀刻工艺,将显影后的简要蚀刻区域的保护膜去除,在蚀刻时接触化学溶液,达到溶解腐蚀的作用,形成凹凸或者镂空成型的效果,使用工人往往在蚀刻液中添加异丙醇IPA,以降低蚀刻液表面张力。晶圆蚀刻工艺中容易存在的问题是:蚀刻过程的对流会引起异丙醇的快速蒸发,蚀刻液表面张力增加,蚀刻工艺质量下降。因此需要将蚀刻液中异丙醇浓度控制在规定范围内。德国析塔SITA动态表面张力仪监控蚀刻液中异丙醇浓度德国析塔SITA动态表面张力仪可以精确快速测量蚀刻液动态表面张力,使用工人可以将测量值与实际所需值进行对比,得出异丙醇浓度是否在规定范围内,如超出限定值后,则可以在短时间内快速采取相应措施,达到高质量的蚀刻工艺和避免异丙醇过量,节省成本。 析塔SITA动态表面张力仪在半导体行业的客户案例德国析塔SITA动态表面张力仪介绍德国析塔SITA动态表面张力仪采用气泡压力法测量液体动态及静态表面张力,通过智能控制气泡寿命,测出液体中表面活性剂分子迁移到界面过程中表面张力的变化过程,即连续的一系列动态表面张力值以及静态表面张力值。德国析塔SITA动态表面张力仪,共有4种型号。附录(英文原文)●Monitoring of wetting characteristics of etchants and developers●Monitoring the surfactant concentration of TMAH-solutions●Monitoring the surfactant concentration in wafer cleaning processes翁开尔是德国析塔SITA中国独家代理,如需了解各种关于析塔表面张力仪信息以及应用,欢迎致电【400-6808-138】咨询。
  • 半导体行业湿电子化学品常用检测仪器及技术盘点
    湿电子化学品是半导体、集成电路等多个领域的重要基础性关键化学材料,是当今世界发展速度较快的产业领域。我国湿电子化学品2012年市场规模仅为34.81亿元,到2018年已增至79.62亿元,而2021年湿电子化学品市场规模预计超过100亿元。湿电子化学品(又称电子级试剂、超净高纯化学试剂、工艺化学品、湿化学品等)一般主体成分纯度大于99.99%,是电子行业湿法制程的关键材料,常用于湿法刻蚀、清洗等微电子、光电子湿法工艺制程,约占集成电路制造成本的5%。湿电子化学品湿电子化学品可分为通用性湿电子化学品和功能性湿电子化学品。通用湿电子化学品一般为单组份、单功能、被大量使用的液体化学品,包括酸、碱、有机溶剂等,常用于集成电路、液晶显示器、太阳能电池、LED制造工艺等;功能湿电子化学品指通过复配手段达到特殊功能、满足制造中特殊工艺需求的复配类化学品,包括蚀刻液、清洗液、光刻配套试剂等,常用于半导体刻蚀、清洗等工艺中。常见湿电子化学品(数据自中国电子材料行业协会)类别湿电子化学品约占湿电子化学品总需求比例(%)合计占比估计通用湿电子化学品过氧化氢16.70%88.20%氢氟酸16%硫酸15.30%硝酸14.30%磷酸8.70%盐酸4.80%氢氧化钾3.80%氨水3.70%异丙酮2.80%醋酸1.90%功能湿电子化学品MEA等极佳溶液3.20%11.80%显影液(半导体用)2.70%蚀刻液(半导体用)2.20%显影液(液晶面板用)1.60%剥离液(半导体用)1.20%缓冲刻蚀液(BOE)0.90%湿电子化学品的国际分类标准国际半导体设备和材料协会(SEMI)根据金属杂质、控制粒径、颗粒个数和应用范围等制定了湿电子化学品国际等级分类标准。Grade1等级湿电子化学品常用于光伏太阳能电池等领域;Grade2等级湿电子化学品常用于平板显示、LED、分立器件等领域;Grade3等级湿电子化学品常用于平板显示、LED、集成电路等;Grade4等级湿电子化学品常用于集成电路等领域。 IC制造不同线宽对应湿电子化学品国际等级分类标准SEMI等级IC线宽(μm)金属杂质(10-9)控制粒径(μm)颗粒(个/mL)C1(Grade1)>1.2≤1000≤1≤25C7(Grade2)0.8-1.2≤10≤0.5≤25C8(Grade3)0.2-0.6≤1≤0.5≤5C12(Grade4)0.09-0.2≤0.1≤0.2*Grade5*≤0.01**国际湿电子化学品市场国际湿电子化学品市场份额的80%主要被德国的E.Merck 公司、美国的Ashland 公司、Sigma-Aldrich 公司、Mallinckradt Baker 公司、日本的Wako 、Summitomo 等占据。欧美传统老牌企业的湿电子化学品产品市场份额(以销售额计)约为34%,主要企业有德国巴斯夫公司、美国亚什兰集团、亚什兰化学公司、美国Arch 化学品公司、美国霍尼韦尔公司、AIR PRODUCTS、德国E.Merck 公司、美国Avantor Performance Materials 公司、ATMI 公司等。日本企业约占30%的市场份额,主要企业关东化学公司、三菱化学、京都化工、日本合成橡胶、住友化学、和光纯药工业(Wako)、stella-chemifa 公司等。中国台湾、韩国、中国大陆企业(即内资企业)约占全球市场份额的35%。全球湿电子化学品行业主要企业国家及地区企业名称美国霍尼韦尔、ATMI、Arch化学品、亚仕兰集团、空气化工产品、Avantor™ Performance Materials德国巴斯夫、汉高、E.Merck日本关东化学、三菱化学、京都化学、东京应化、住友化学、宇部兴产、Stella Chemifa、Wako、日本合成橡胶韩国东友精细化工、东进世美肯、soulbrain ENG中国台湾台湾联仕电子、台湾侨力 国内湿电子化学品研究 自1980 年北京化学试剂研究所在国内率先研制成功适合5µm技术用的MOS级试剂开始,经过数十年积累,国内湿电子化学品企业陆续获得了 G1、G2 等级的化学试剂生产技术,少数部分技术领先企业已经具备 G2 等级化学试剂规模化生产的能力,部分产品的关键技术指标已经达到了国际G3 标准的水平。2010 年之后,技术领先企业的部分产品具备了 G3 等级的生产技术,行业进入快速发展阶段。国内的湿电子化学品目前主要生产G2、G3级别,仅部分达到G4级别,产品主要进口自欧美、日本、韩国、中国台湾的企业。湿电子化学品常用检测仪器与技术湿电子化学品的纯度和洁净度对于电子元器件产品的成品率、性能和可靠性有重要影响。仪器信息网特将湿电子化学品纯度及杂质分析和颗粒检测常用的仪器进行整理。湿电子化学品常用检测仪器常用仪器用途对应仪器专场(点击进入)粒度仪颗粒分析等粒度仪仪器专场电感耦合等离子体—质谱仪(ICP-MS)纯度和杂质分析等电感耦合等离子体—质谱仪(ICP-MS)仪器专场离子色谱纯度和杂质分析等离子色谱仪器专场电位滴定仪纯度和杂质分析等电位滴定仪仪器专场紫外可见分光光度计纯度和杂质分析等紫外可见分光光度计仪器专场液相色谱纯度和杂质分析等液相色谱仪器专场液质联用纯度和杂质分析等液质联用仪器专场
  • 芯源微:前道涂胶显影机可与ASML等光刻机联机应用
    近日,芯源微披露投资者关系活动记录表指出,公司前道涂胶显影机与国际光刻机联机的技术问题已经攻克并通过验证,可以与包括ASML、佳能等国际品牌以及国内的上海微电子(SMEE)的光刻机联机应用。芯源微表示,涂胶显影机在Iline、KrF、向ArF等技术升级的过程中,主要技术难点在于涂胶显影机结构复杂,运行部件多。研发升级在技术上有很大的跨度,主要体现在颗粒污染物的控制方面,例如烘烤精 度、多腔体的一致性及均匀性、不同光刻胶的涂胶显影工艺精 细化控制,以及设备整体颗粒污染物控制等。据悉,当前,全球半导体设备市场的主要份额基本被国外厂商占据,如美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、美国科天等,为了突破这一卡脖子技术,近年来,国产半导体企业亦在奋力追赶,希望尽早实现国产替代。资料显示,芯源微成立于2002年,是由中科院沈阳自动化研究所发起创建的国家高新技术企业,专业从事半导体生产设备的研发、生产、销售与服务。图片来源:芯源微公告芯源微产品广泛应用于半导体生产、高端封装、MEMS、LED、OLED、3D-IC TSV、PV等领域,产品包括光刻工序涂胶显影设备和单片式湿法设备,可用于8/12英寸单晶圆处理及6英寸及以下单晶圆处理。目前,芯源微的主要客户包括中芯国际、华力微电子、长江存储、台积电、华为、上海积塔、株洲中车、青岛芯恩、长电科技、通富微电、华天科技、晶方科技、华灿光电、乾照光电、澳洋顺昌等半导体知名厂商。作为芯源微的标杆产品,光刻工序涂胶显影设备成功打破国外厂商垄断并填补国内空白,其中,在集成电路前道晶圆加工环节,作为国产化设备已逐步得到验证,实现小批量替代;在集成电路制造后道先进封装、化合物、MEMS、LED 芯片制造等环节,作为国内厂商主流机型已广泛应用在国内知名大厂,成功实现进口替代。新华社此前报道,芯源微产品在匀胶显影技术领域居国内第一,达到国际先进水平。芯源微在记录表指出,公司现有的厂区已经是满负荷运转,同时新厂房也在建设当中,按照计划将于2021年4季度投入使用,届时对公司产能提升会起到非常大的作用。
  • 南大光电自主研发的 ArF(193nm)光刻胶成功通过认证
    p style=" text-indent: 2em text-align: justify " 近日,南大光电发布公告称,其控股子公司 “宁波南大光电”自主研发的 ArF(193nm)光刻胶产品近日成功通过客户的使用认证。报告显示,“本次认证选择客户 50nm 闪存产品中的控制栅进行验证,宁波南大光电的ArF光刻胶产品测试各项性能满足工艺规格要求,良率结果达标。” /p p style=" text-align: justify text-indent: 2em " “ArF 光刻胶产品开发和产业化”是宁波南大光电承接国家 “02 专项”的一个重点攻关项目。本次产品的认证通过,标志着 “ArF 光刻胶产品开发和产业化”项目取得了关键性的突破,成为国内通过产品验证的第一只国产 ArF 光刻胶。 /p p style=" text-align: justify text-indent: 2em " 据了解,光刻胶是半导体芯片制造过程中的核心材料之一 ,经过紫外光、电子束等照射,光刻胶得到曝光,化学性质发生改变,经过显影液的洗涤,图案会留在衬底上。光刻胶分为 KrF(248nm)、ArF(193nm)和 EUV(13.5nm)几种,括号中的数值为曝光光源的波长。 /p p br/ /p
  • 涉及上百台仪器,晶瑞光刻胶研发工艺曝光
    IC光刻胶开发一般来说会涉及研发设备和测试设备,其中研发设备主要就是以混配釜和过滤设备为主,此类设备需考虑纯度控制,设备内一般使用PFA内衬或PTFE涂层,避免金属离子析出。测试设备(必备的)ICP-MS、膜厚仪、旋涂机、显影器、LPC、质谱、GPC,另外关于光刻机也是核心部分。光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,去年却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞化学股份有限公司(已更名为“晶瑞电子材料股份有限公司”)是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。其采购光刻机主要用于晶瑞化学集成电路用高端光刻胶研发项目。近日,仪器信息网从公开文件了解到该项目的相关信息,涉及工艺流程和仪器配置等信息,详情如下:项目主体工程研发方案建设项目工程一览表本项目主要生产设备一览表营运期工艺流程及产污分析:工艺流程及简述:本项目通过小试实验为晶瑞化学股份有限公司生产提供技术支撑,不产生具体产品,实验室在进行实验后得到的合成树脂与光产酸剂用于合成光刻胶,光刻胶性能测试结束后剩余物料作为危险废物委托有资质单位处理,不作为产品销售或外卖。1. 研发工艺流程图因研发中心项目每次开发过程中所使用的化学原料、可能发生的化学反应等均具有不确定性,因此研发中心项目的流程以实验研发中心为单元进行表示如下:本次研发中心项目工作流程图工艺流程描述研发中心项目具体操作流程如下:a、实验前风险评估:在此阶段科学家将对需进行的研究进行预研发风险分析,并通过相关的安全分析得出需研究项目的试验安全等级,确定试验过程中需采取的安全和环保措施。b、风险评估通过后将进入研发小试实验阶段:因研发中心项目每次实验需用到的物料和用量均无法事先设定,需根据具体的研发方向和实验要求来确定,因此研发中心项目的物料使用种类和使用量具有不确定性。但公司从环保角度考虑,研发中心项目各实验室均按标准化实验室进行建设,本次研究实验除光刻胶制备与测试在密闭的光刻机中进行,其他实验步骤均在实验室通风橱内进行,通风橱收集率为 90%,光刻机为密闭系统,产生的废气由单独的管道收集,收集率为 98%。收集后的废气经一套“蜂窝活性炭+袋式活性炭”两级活性炭处理装置处理后由 30m 高排气筒 P4 排放。研发中心项目实验过程得到的合成树脂与光产酸剂用于合成光刻胶,光刻胶性能测试结束后剩余物料均收集后作为危废委外处理,有妥善的处理处置方式。具体研发实验工艺:1、树脂合成工艺:树脂合成工艺流程树脂合成工艺流程简述如下:除氧:常温、常压下,向搭载机械搅拌、冷凝管和温度计的四口烧瓶中持续通入氮气,除去反应瓶中的氧气,氮气作为保护气体,可以保护后续反应不受氧气干扰。聚合反应:除氧后向四口烧瓶中依次加入反应所需单体,引发剂及适量溶剂后,将四口烧瓶置于油浴锅(加热辅材为硅油)中使用机械搅拌器搅拌至四口烧 瓶中的物料搅拌成透明均一的溶液,于设定温度条件下油浴锅加热反应,红外监测反应进程。油浴加热为间接加热,使用硅油作为加热辅材,硅油的沸点高于100摄氏度,油浴加热所需的加热温度为 20~60 摄氏度,该温度下硅油几乎不产生油雾,反应在通风橱中进行。引发剂和溶剂的添加种类与添加量,单体的配比等根据设定的工艺路线及实验的测试结果进行优化。该过程使用的单体有:(A)丙烯酸酯类单体(甲基丙烯酸 5-氧代四氢呋喃 -3-基酯,2-甲基 2-金刚烷基甲基丙烯酸酯,丙烯酸叔丁酯);(B)马来酸酐;(C)降冰片烯;加入的溶剂为二氧六环;引发剂为:对甲基苯磺酸、偶氮二异庚腈、偶氮二异丁酸二甲酯、偶氮二异丁腈、过氧化苯甲酰,以及氨水。反应过程中无废液产生,反应装置使用自来水间接冷却。该反应过程产生 G1-1 有机废气、G1-2 氨气。聚合反应方程式一次清洗、过滤、干燥:使用滴液漏斗将树脂溶液用丙酮稀释,通过滴液漏斗缓慢滴加到 5 倍用量纯水中,将上述混合物倒入布氏漏斗,并用真空泵抽滤,得到白色粉末产物,将得到的产物放置于 65 ℃ 烘箱烘 20h(仪器可定时,烘干结束后自动停止)。树脂沉淀过滤过程中,产生 S1-2 废滤材及 S1-2 清洗废液,均作为危废委托有资质单位进行处理。干燥过程产生 G1-2 有机废气。金属离子去除:将离子交换树脂填充到离子交换柱中。将醋酸丁酯和聚合物 粉末于烧杯中溶解,并调节体系固含至 15-20 wt%。将树脂溶液直接倒入离子交 换柱中,流经离子交换树脂,循环多次,ICP-MS 金属离子浓度低于 10 ppb。该过程产生固体 G1-3 有机废气、S1-3 离子交换树脂。二次清洗、过滤、干燥:将树脂溶液缓慢滴加到去 5 倍用量的纯水中(1L 废水量),抽滤得到白色粉末状聚合物,将得到的产物放置于 65 ℃ 烘箱烘 20h(仪器可定时,烘干结束后自动停止),产生 S1-4 废液、S1-5 废滤材、G1-4 有机废气。水分测试:加入卡尔菲休试剂,使用水分仪检测水分含量至 2000ppm,该过程产生 G1-5 有机废气,S1-6 测试废液。理化性质测试:树脂经过真空干燥后,在测试实验室中使用四氢呋喃、DMF、四氢呋喃、重水、氘代丙酮、氘代氯仿、DMSO-d6、甘油、丙二醇甲醚醋酸酯、乙腈、丙酮、溴化钾、硝酸钾等溶剂对树脂的理化性质进行测试。通过核磁测试聚合物结构,通过凝胶渗透色谱测定聚合物分子量大小,该过程产生 G1-6 有机废气以及 S1-7 测试废液。2、光产酸剂制备工艺:光产酸剂制备工艺流程生产工艺流程简述如下:备料:光产酸剂制备研发实验常用的原料包括:对羟基苯磺酸钠、十二烷基苯磺酸、樟脑坤磺酸钠、和三苯基氯化硫鎓盐,二苯基氯化碘鎓盐、醋酸酐、间苯二酚等;溶剂包括:纯水、甲醇等;该工序产生 G2-1 有机废气。合成:将光产酸合成所需原料钠盐加入到搭载机械搅拌的四口烧瓶中,用水溶解。光产酸剂合成反应方程式萃取:通过滴液漏斗向烧瓶中缓慢滴加鎓盐溶液,于室温下反应 3-5 个小时。静止分层,除去上层水溶液,并继续用水洗涤 3 次,用甲醇萃取产物,该工序产生 S7 废液。该工序产生 S2-1 废液以及 G2-2 有机废气。干燥、过滤:用无水硫酸钠干燥甲醇萃取液 24h,然后过滤。该工序产生 S2-2 硫酸钠以及 S2-3 废滤材。旋蒸:使用旋转蒸发仪将滤液旋蒸后得到产物光产酸剂。该过程产生 G2-3 有机废气。3、光刻胶制备与测试:光刻胶制备与测试工艺流程该工艺全部在光刻机中进行,工艺流程简述如下:样品制备与测试:样品制备所用树脂为实验室自主研发合成,光致产酸剂为自主研发合成;所用溶剂包括:丙二醇甲醚醋酸酯、乳酸乙酯、二甲苯、γ -丁内酯、丁酮、丙二醇单甲醚、醋酸丁酯、石油醚、二甘醇单丁醚、甲基异丁基酮、DMAC、NMP等。调制时根据设定的工艺路线或前次的测试结果选择加入不同的树脂和溶剂。将所用的树脂与光致产酸剂、碱性添加物三辛胺等和溶剂按照一 定的比例混合、溶解。样品调制用树脂主要包括:酚醛树脂、重氮萘醌磺酸酯、叠氮类化合物、甲醚化三聚氰胺等。光产酸剂有:三苯基硫鎓盐、二苯基碘鎓盐、三嗪类化合物等。样品制备过程中无化学反应发生,不产生污染物。过滤:使用漏斗等过滤仪器将样品过滤,该工序产生 S3-1 废滤材。光刻胶成膜、烘干:使用匀胶显影涂布机将调制好的光刻胶涂布在硅片上, 涂布好的硅片用100℃热板烘干。涂布、烘干过程中光刻胶中的有机溶剂挥发产生 G3-1 有机废气;剩余的光刻胶报废处理,产生 S3-2 废光刻胶。冷却:将涂布、烘干后的硅片冷却至室温,该工序产生 G3-2 有机废气。光刻胶曝光显影:将冷却至室温的硅片放入曝光机内曝光。曝光结束后将硅片放入显影液中显影,显影后使用纯水清洗硅片即可得到微米或纳米级别图案。实验室常用的显影液包括:四甲基氢氧化铵、氢氧化钾、氢氧化钠溶液等,该工序产生 S3-3 碱性废液。成像测试:主要通过显微镜、椭偏仪等仪器观察光刻胶图形的成像效果。测试后产生 S3-4 废硅片。4、仪器清洁:仪器清洗工艺流程工艺流程简述如下:残余物溶解:加丙酮溶解仪器内残留的光刻胶或树脂,产生溶解废液 S4-1,丙酮挥发产生有机废气 G4-1;清洗溶剂:加少量纯水,清洗仪器内残留的废液,产生含有机溶剂的清洗废液 S4-2,丙酮挥发产生有机废气 G4-2;擦拭:使用无尘布蘸取少量丙酮擦拭干净仪器内壁,产生有机废气 G4-3。润洗:待仪器干燥后,使用纯水对仪器进行润洗,产生的 W1 润洗水排入污水管网;干燥:仪器清洗干净后放在置物架自然晾干或放入烘箱烘干。上述流程除光刻胶制备与测试在密闭的光刻机中进行,其他实验步骤均在实 验室通风橱内进行。5、设备清洗设备清洗工艺流程使用纯水对设备进行清洗,使用的工段有:(1)显影工艺中对硅片进行喷淋清 洗;(2)湿法曝光工段中作为镜头与硅片间的浸没液体;该工序产生清洗废液,作为危废委托有资质单位进行处理。 纯水使用情况详情见下表:设备清洗用水汇总
  • 清华预算600万采购涂胶显影一体机
    p style=" text-indent: 2em " 10月9日,清华大学发布《清华大学涂胶显影一体机采购项目公开招标公告》,预算达600万元。 span style=" text-indent: 2em " 本次采购的涂胶显影一体机主要用于对8英寸及6英寸直径的硅、玻璃、聚合物等材料基片进行光刻胶或聚合物材料的均匀涂覆并在光刻后进行显影,以达到后续光刻或键合等工艺的要求。 /span /p p style=" text-indent: 2em " span style=" text-indent: 2em " 获取招标文件时间为2020年10月09日 至 2020年10月15日,每天上午9:00至11:30,下午13:30至17:00。 /span 提交投标文件截止2020年10月30日 14点00分。开标时间为2020年10月30日 14点00分。以下为公告概要: /p h3 span style=" font-size: 16px " 项目概况 /span /h3 p 清华大学涂胶显影一体机采购项目 招标项目的潜在投标人应在北京市海淀区文慧园北路10号,北京中教仪国际招标代理有限公司603室(中国教育报刊社院内)获取招标文件,并于2020年10月30日 14点00分(北京时间)前递交投标文件。 /p h3 span style=" font-size: 16px " 项目基本情况 /span /h3 p 项目编号:清设招第2020071号(0873-2001HW2L0110) /p p 项目名称:清华大学涂胶显影一体机采购项目 /p p 预算金额:600.0000000 万元(人民币) /p p 采购需求: /p p 1.本次招标共& nbsp 1& nbsp 包: /p table align=" center" border=" 1" cellpadding=" 0" cellspacing=" 0" style=" border: none font-variant-numeric: inherit font-variant-east-asian: inherit font-stretch: inherit line-height: inherit font-family: & quot Microsoft YaHei& quot , 微软雅黑, 黑体 vertical-align: baseline margin: 0px auto padding: 0px border-spacing: 0px color: rgb(56, 56, 56) white-space: normal background-color: rgb(255, 255, 255) " tbody style=" border: 0px font: inherit vertical-align: baseline margin: 0px padding: 0px " tr style=" border: 0px font: inherit vertical-align: baseline margin: 0px padding: 0px " class=" firstRow" td style=" font: inherit vertical-align: baseline margin: 0px border: 1px solid rgb(0, 0, 0) padding: 5px " width=" 59" height=" 49" align=" center" valign=" middle" p style=" text-align: center border: 0px font-style: inherit font-variant: inherit font-weight: inherit font-stretch: inherit font-size: inherit font-family: inherit vertical-align: baseline margin-bottom: 5px line-height: normal margin-top: 5px " span style=" font-family: arial, helvetica, sans-serif " 包号 /span /p /td td style=" font: inherit vertical-align: baseline margin: 0px border: 1px solid rgb(0, 0, 0) padding: 5px word-break: break-all " width=" 126" height=" 49" align=" center" valign=" middle" p style=" text-align: center border: 0px font-style: inherit font-variant: inherit font-weight: inherit font-stretch: inherit font-size: inherit font-family: inherit vertical-align: baseline margin-top: 5px margin-bottom: 22px line-height: normal " span style=" font-family: arial, helvetica, sans-serif " 名称 /span /p /td td style=" font: inherit vertical-align: baseline margin: 0px border: 1px solid rgb(0, 0, 0) padding: 5px " width=" 103" height=" 49" align=" center" valign=" middle" p style=" text-align: center border: 0px font-style: inherit font-variant: inherit font-weight: inherit font-stretch: inherit font-size: inherit font-family: inherit vertical-align: baseline margin-top: 5px margin-bottom: 22px line-height: normal " span style=" font-family: arial, helvetica, sans-serif " 数量 /span /p /td td style=" font: inherit vertical-align: baseline margin: 0px border: 1px solid rgb(0, 0, 0) padding: 5px " width=" 223" height=" 49" align=" center" valign=" middle" p style=" text-align: center border: 0px font-style: inherit font-variant: inherit font-weight: inherit font-stretch: inherit font-size: inherit font-family: inherit vertical-align: baseline margin-top: 5px margin-bottom: 5px line-height: normal " span style=" font-family: arial, helvetica, sans-serif " 预算金额 /span /p p style=" text-align: center border: 0px font-style: inherit font-variant: inherit font-weight: inherit font-stretch: inherit font-size: inherit font-family: inherit vertical-align: baseline margin-top: 5px margin-bottom: 5px line-height: normal " span style=" font-family: arial, helvetica, sans-serif " (人民币) /span /p /td /tr tr style=" border: 0px font: inherit vertical-align: baseline margin: 0px padding: 0px height: 43px " td style=" font: inherit vertical-align: baseline margin: 0px border: 1px solid rgb(0, 0, 0) padding: 5px " width=" 59" height=" 43" align=" center" valign=" middle" p style=" text-align: center border: 0px font-style: inherit font-variant: inherit font-weight: inherit font-stretch: inherit font-size: inherit font-family: inherit vertical-align: baseline margin-top: 5px margin-bottom: 22px line-height: normal " span style=" font-family: arial, helvetica, sans-serif " 1 /span /p /td td style=" font: inherit vertical-align: baseline margin: 0px border: 1px solid rgb(0, 0, 0) padding: 5px " width=" 125" height=" 43" align=" center" valign=" middle" p style=" text-align: center border: 0px font-style: inherit font-variant: inherit font-weight: inherit font-stretch: inherit font-size: inherit font-family: inherit vertical-align: baseline margin-top: 5px margin-bottom: 22px line-height: normal " span style=" font-family: arial, helvetica, sans-serif " 涂胶显影一体机 /span /p /td td style=" font: inherit vertical-align: baseline margin: 0px border: 1px solid rgb(0, 0, 0) padding: 5px word-break: break-all " width=" 103" height=" 43" align=" center" valign=" middle" p style=" text-align: center border: 0px font-style: inherit font-variant: inherit font-weight: inherit font-stretch: inherit font-size: inherit font-family: inherit vertical-align: baseline margin-top: 5px margin-bottom: 22px line-height: normal " span style=" font-family: arial, helvetica, sans-serif " 1套 /span /p /td td style=" font: inherit vertical-align: baseline margin: 0px border: 1px solid rgb(0, 0, 0) padding: 5px " width=" 231" height=" 43" align=" center" valign=" middle" p style=" text-align: center border: 0px font-style: inherit font-variant: inherit font-weight: inherit font-stretch: inherit font-size: inherit font-family: inherit vertical-align: baseline margin-top: 5px margin-bottom: 22px line-height: normal " span style=" font-family: arial, helvetica, sans-serif " 600万元 /span /p /td /tr /tbody /table span style=" background-color: rgb(255, 255, 255) color: rgb(56, 56, 56) text-align: justify text-indent: 24pt " (1)本次招标、投标、评标均以包为单位,投标人须以包为单位进行投标,如有多包,可投一包或多包,但不得拆包,不完整的投标将被拒绝。 /span p (2)本项目接受进口产品投标。 /p p 2.招标用途: br/ /p p 涂胶显影一体机用于对8英寸及6英寸直径的硅、玻璃、聚合物等材料基片进行光刻胶或聚合物材料的均匀涂覆并在光刻后进行显影,以达到后续光刻或键合等工艺的要求。 /p p 以上货物或服务的供应、运输、安装调试、培训及售后服务具体招标内容和要求,以本招标文件中商务、技术和服务的相应规定为准。 /p p 合同履行期限:详见采购需求 /p p 本项目( 不接受 )联合体投标。 /p p style=" text-align: center " a href=" https://www.instrument.com.cn/webinar/meetings/iCSMD2020/" target=" _self" img style=" max-width:100% max-height:100% " src=" https://img1.17img.cn/17img/images/202010/uepic/3e77024c-93ff-43b3-8ef6-5ed6d81686d4.jpg" title=" 半导体材料与器件.jpg" alt=" 半导体材料与器件.jpg" / /a /p
  • 三星旗下Semes成功开发ArF-i光刻涂胶/显影设备
    三星电子旗下的晶圆厂设备公司Semes成功开发出一种ArF-i浸润式光刻涂胶/显影设备。该公司6月24日表示,第一台名为“Omega Prime”的设备已于去年供货,Semes正在制造第二台设备。迄今为止,韩国芯片制造商在设备方面严重依赖外国进口,三星每年花费达数千亿韩元。据了解,涂胶设备用于曝光前,对晶圆进行光刻胶涂布。在完成光刻后,需由显影设备进行光刻图案的显影。Semes目前已制造出KrF光刻涂胶/显影设备,并在此基础上开发了ArF版本,以支持波长更短的新型光刻机。据业界报道,目前东京电子(Tokyo Electron)拥有ArF-i光刻涂胶/显影设备全球90%以上的市场份额。Semes表示,在Omega Prime设备上应用了喷嘴、烘烤温度和机器人位置自动调整系统,以消除涂布层的偏差。
  • 盛美上海首台前道ArF工艺涂胶显影设备Ultra LITH出机
    近日,盛美上海宣布首台具有自主知识产权的涂胶显影Track设备Ultra LITH成功出机,顺利向中国国内客户交付首台前道ArF工艺涂胶显影Track设备,该设备由盛美半导体设备(亚太)制造中心完成出货,这是该公司提升其在涂胶和显影领域内专业技术的重要一步。盛美上海称,前道涂胶显影Track设备Ultra LITH的出货是盛美上海持续拓展产品系列的又一成果,公司致力于成为晶圆级的工艺解决方案平台,旗下产品还包括单晶圆及槽式湿法清洗设备、电镀设备、无应力抛光设备、立式炉管设备,及PECVD设备。此外,盛美上海将于2023年推出i-line型号设备,并且公司已开始着手研发KrF型号设备。
  • 半导体行业常用的十五类材料检测科学仪器与技术盘点
    自中美贸易战以来,国家对于半导体行业的重视日渐提升。为避免关键技术被“卡脖子”,国家大力推动半导体行业的发展,先后发布了《国务院关于印发新时期促进集成电路产业和软件产业高质量发展若干政策的通知》、《关于促进集成电路产业和软件产业高质量发展企业所得税政策的公告》等政策,从财税政策、投融资政策、研究开发政策、进出口政策、人才政策、知识产权政策、市场应用政策、国际合作政策等多个层面支持国内半导体行业的自主创新。半导体材料主要包括第一代半导体材料(Si等)、第二代半导体材料(砷化镓GaAs、锑化铟InSb等)、第三代半导体材料(碳化硅SiC、氮化镓GaN、氧化锌ZnO、金刚石、氮化铝等),以及在半导体工艺环节必须用到的特种气体、靶材、光刻胶、显影液、抛光液和抛光垫、键合胶、电镀液、清洗液、刻蚀液、研磨材料、掩模版、光阻材料等。其中,大部分半导体材料依赖于对外进口,目前主要进口自美国、日本、韩国等。表1 热门半导体材料主要进口国家及地区主要半导体材料主要进口国家及地区硅片等日本、德国、韩国、美国、中国台湾砷化镓GaAs等日本碳化硅SiC等美国、欧洲特种气体美国、德国、法国、日本靶材美国、日本光刻胶中国台湾、日本、美国抛光液和抛光垫美国、日本、韩国研磨材料美国掩模版日本湿电子化学品德国、美国、日本、韩国、中国台湾光阻材料日本封装材料中国台湾半导体材料的晶体结构和缺陷杂质都将对半导体器件的性能产生较大的影响,因此半导体材料的检测对于成品质量具有至关重要的意义,以下整理了半导体检测中用到的主要科学仪器及其在半导体领域的应用。表 半导体检测仪器和用途半导体检测仪器与技术(点击下方仪器进入专场)在半导体领域的应用光学测量仪器外延层厚度测量、测定元素含量、用于高纯气体分析等电学测量仪器(四探针、三探针、扩展电阻、C-V法、霍尔测量)测量电阻率、载流子浓度、导电类型、迁移率、寿命及载流子浓度分布等X射线衍射仪缺陷及形貌观察(无损检测),检测二次缺陷的形成和消除等金相显微镜观察晶体缺陷等俄歇电子能谱表面层原子成分、含量、化学键合状态分析等二次离子质谱杂质检测等扫描电镜微区形貌观察,成分、结构分析,失效分析,缺陷检测等透射电镜半导体晶体缺陷分析等原子吸收分光光度痕量杂质检测等气相色谱气体分析高频电感耦合等离子体发射光谱微量成分分析等离子束用于分析离子注入层和外延层损伤、定位等离子探针用于薄层分析、微区分析、测量浓度分布,分析痕量杂质等电子探针成分分析等以上列举了半导体行业用到的热门半导体材料和检测仪器,日后仪器信息网也将对半导体检测解决方案进行盘点敬请期待。
  • 北方华创“晶圆卡盘和晶圆加工方法”专利公布
    天眼查显示,北京北方华创微电子装备有限公司“晶圆卡盘和晶圆加工方法”专利公布,申请公布日为2024年7月16日,申请公布号为CN118352289A。 背景技术高分子聚合物在微电子制造中有广泛的应用,例如:聚酰亚胺(PI)是一种综合性能良好的有机高分子材料,耐高温达400℃以上,并具有高绝缘性能,103Hz下的介电常数4.0,属于低介电常数材料,被广泛用于微电子制造领域:1、聚酰亚胺容易与氧气、NaOH等发生化学反应而被刻蚀掉,因此可被用作牺牲层来制备微机械系统(MEMS)中的悬空结构;2、利用聚酰亚胺的绝缘性能可用作电路之间的钝化层,如先进封装中的重新布线(RDL)技术,可将聚酰亚胺光敏改性后光刻制备图案化结构并避免不同电路之间互连;3、利用聚酰亚胺的低介电常数特性,可减少电路中的寄生电容,用于高频电子器件中的线路钝化。再如:光刻胶是一类具有光敏特性的高分子聚合物,在光照下其结构发生改性,可以被显影液剥离(正性光刻胶)或者保留(负性光刻胶),利用光刻胶的光敏特性可以将光刻板上的图形转移到晶圆上,再通过干法刻蚀或者薄膜生长等工艺将图案固定下来,最后光刻胶需要被去除。在上述应用中,常常需要等离子体干法刻蚀对高分子聚合物进行处理。例如:采用聚酰亚胺来实现先进封装中的重新布线技术时,需要等离子体干法刻蚀对聚酰亚胺表面进行改性处理,增大粗糙度和亲水性,以保证电镀重新布线金属时药液可以完全浸润整个晶圆;再如:利用光刻胶做干法刻蚀的掩膜后的去除过程中,由于光刻胶经过干法刻蚀后发生改性,难以使用溶剂溶解的方法进行湿法去除,而需要进一步利用等离子体去胶机进行去除。尤其是在扇出型封装中,晶圆的基底常采用树脂等聚合物制作,进而在制作晶圆的过程中,晶圆容易发生翘曲。当基底有翘曲时,刻蚀过程中的电荷积累引起的放电效应会严重影响刻蚀工艺结果,甚至导致刻蚀工艺停止而失败。发明内容本发明提供了一种晶圆卡盘和晶圆加工方法,涉及晶圆的刻蚀技术技术领域,为解决翘曲的晶圆在等离子刻蚀时易放电打火的问题而设计。晶圆卡盘包括边缘卡盘和中心卡盘,边缘卡盘分布在中心卡盘的至少一个径向的外侧,边缘卡盘传动连接有边缘卡盘驱动装置以调节边缘卡盘的高度。本发明提供的晶圆卡盘可以避免晶圆的边缘打火受损。
  • 沈阳芯源KrF涂胶显影机台入驻士兰集科暨双方达成战略合作关系
    2022年6月10日,沈阳芯源12寸KrF涂胶显影设备顺利入驻厦门士兰集科。作为士兰集科引入的首台国产高产能KrF涂胶显影机台,沈阳芯源产品获得了客户的高度重视和充分认可,士兰集科总经理黄军华、副总经理李文深、相关技术和商务代表,沈阳芯源公司董事长兼总裁宗润福、前道设计总监程虎、市场总监王星园以及现场服务代表出席了搬入仪式。此次沈阳芯源公司12寸高产能KrF涂胶显影量产机台的顺利交付,将会为士兰集科提供更加高端的光刻工艺解决方案及服务,为士兰集科后续高端产品稳定量产、产线升级扩产提供更为安全的供应链保障。同时,士兰集科作为中国大陆12寸晶圆特种工艺产线的领导者,也为集成电路高端设备国产化替代提供了优秀的平台和机遇。搬入仪式完成后,双方进行了深入友好的商务交流,沈阳芯源与士兰集科签署了战略合作协议。本次战略合作的达成,既是对过往双方成功合作的肯定,也是对未来深入合作的无限期许,双方也将继续携手前行,协同发展,同“芯”聚力,共创辉煌!
  • 华虹半导体:华虹三厂目前生产逐步恢复,预计对公司业绩不会有明显影响
    1月9日,华虹半导体披露华虹三厂短时停电事件的最新情况称,2022年1月7日上午9点07分,三厂发生GIS(气体绝缘全封闭组合开关)内PT(电压互感器)故障导致厂区短时停电,现场无人员伤亡,各类环境监测指标正常。公司立即启动应急预案,当天中午起恢复供电,目前生产逐步恢复。预计对公司业绩不会有明显影响。资料显示,华虹三厂位于上海张江基地,是一座8英寸晶圆生产线,最先进工艺为90纳米。根据华虹半导体2020年年报,华虹三厂2020年8英寸晶圆月产能为5.3万片。据此前报道,目前全球芯片产能持续紧张,8英寸产能尤为稀缺,该厂停工三小时或一定程度上导致订单交期延迟,同时或将造成大批量晶圆报废。不过,一位业内人士称,对于一座成熟的晶圆厂而言,停工三小时能够造成的影响有限。
  • 传承百年经典正当时,缔造多元产品新体验 ——2017年度奥豪斯中国区市场活动精彩盘点
    又到一年的岁末年终,奥豪斯已走过第110个春秋。长期以来,奥豪斯公司始终秉持「务实创新」的理念,以「专业」、「精致」、「卓越」的服务态度,坚持深耕行业、深挖用户需求,持续为客户提供「灵感源于务实」的全面而又丰富的产品,在行业内取得了良好的口碑。回望成绩卓著的2017年,奥豪斯接连举办了一系列丰富多彩的市场活动,得到了广大合作伙伴的踊跃支持和积极参与。接下来,带您一起来体验这些市场活动的部分精彩瞬间吧! 1. 2017广州国际分析测试及实验室设备展览会暨技术研讨会 2月下旬,在广州保利世贸博览馆,奥豪斯盛装出席「2017广州国际分析测试及实验室设备展览会暨技术研讨会」(2017 china lab)。作为今年的业内首秀,奥豪斯倾力筹备以「百年传承 荣耀再续」为主题的新品发布会,在200多家优秀的仪器厂商中脱颖而出,旗舰专业产品explorer准微量天平和frontier™ 5000系列离心机一经亮相,就引来大批专业观众的侧目与驻足,现场十分爆! 2. 2017中国国际衡器展 如此精彩的论坛刚刚落下帷幕,奥豪斯又在由中国衡器协会举办的第二十一届衡器专业展览会——「2017中国国际衡器展」中精彩亮相。4月6日,350多家企业齐聚上海新国际博览中心,奥豪斯继续精彩卓越的表现,重磅发布的trooper 3000过程称重仪表、trooper 1000重量变送器等新产品,及经典产品defender 8000智能终端仪表倍受专业用户的青睐与体验,现场热闹非凡。 3. 2017第十七届北京分析测试学术报告会暨展览会 转眼就是金秋十月,奥豪斯继续扬帆起航,进军全新领域。10月9日,业界颇具影响力的「第十七届北京分析测试学术报告会暨展览会」(bceia 2017)如约在北京国家会议中心拉开了盛大的帷幕。奥豪斯凭借别致醒目的展台门面和覆盖种类全面的核心产品成为了展会现场的一道靓丽风景线,特别是今年重磅推出的全新实验室设备产品,以极端环境摇床及恒温混匀器为代表,一经揭幕,就立刻成为了全场关注的焦点,引来专业观众们纷纷前来操作体验,并得到大家的一致好评。看了以上的照片,是否也让您回忆起了当时精彩热闹的场面呢?虽然活动早已结束,但每场活动前来咨询的爆满情况,还让人记忆犹新。接下来,小编就为大家梳理一下活动现场的热点话题及对应的明星产品吧!4. 奥豪斯竟然投身影视业了?喜欢看电影的小编,从来没想到国宝级电影厂八一电影制片厂也在使用我们奥豪斯的产品。奥豪斯的st系列ph计,居然成了八一电影制片厂的新宠!!在数码摄像技术普及的今天,还有很多优质电影依然采用胶卷拍摄。众所周知,用胶卷拍摄,不仅要拍摄技术,还要有冲洗技术——这其中,很关键的一步就是胶片冲洗液配的好不好。显影液的碱性值和停影液的酸度值如果不准确,会非常影响洗片效果。奥豪斯的st系列ph 计,能快速地获得精确的测量结果,就这样被八一电影厂相中了。小编内心真的无比自豪啊!5. 奥豪斯居然打入了餐饮圈?产品好不好,要看有哪些用户在使用,它为用户解决了那些问题?全国连锁中式快餐品牌真功夫,是快餐食品标准化的领军企业,每一份粥品、每一份卤饭的配比都是固定的——准确的称量才能保证每一份快餐品质如一。奥豪斯的valor系列电子案秤,因其精准的称量结果和稳定优异的性能、人性化的操作界面,一下子入了真功夫的法眼,为其产品质量保驾护航。几年来,奥豪斯已持续为数百家真功夫门店的厨房提供定制化单面显示屏的valor电子案秤,并将继续合作下去。6. 奥豪斯微孔板摇床,清华学霸的好帮手 医学院进行细胞培养,特别是进行脱色染色实验时,特别需要保证样品的均匀性。一款能保证混匀结果稳定性的摇床就显得尤为重要。奥豪斯的摇床品质性能极佳,倍受高校医学院的喜欢。奥豪斯的微孔板摇床,就服务于清华大学医学院研究所,主要用于大肠杆菌的培养研究。研究院工作人员说:「在国外做研究的时候,就用奥豪斯的产品,回到国内,也离不开它。」7. 奥豪斯往复式摇床,奋战市级血站一线 从高校研究走向医学应用,奥豪斯的摇床也取得了优异的成绩。国内某市的中心血站的检验科,使用了奥豪斯的数显控制往复式摇床,其出色的「神经中枢」微处理控制器和负载传感器保证了摇荡的均匀性和一致性,保证了每次混合过的血液样品质量,再也没有出现过变质现象。其负责人还决定向血站其他的其他部门推广使用这款摇床。8. 配料实现零差错?奥豪斯的t81系统没有不可能!很多企业都需要进行配方配料,传统的配料方法容易出现人为失误。这种人为失误几乎成了硬伤,没法避免。那么配料零差错,真的很难实现吗?不难,奥豪斯新推出的defender8000智能终端专为「零差错」而生。别看这款仪器很小巧,但它可以搭建起「智能手工配料体系」,撑起工厂配料生产环节:不仅能实现配料过程「零」失误,还能对生产流程进行智能控制。此外,所有配料数据都可实现全程追溯、还能进行配方保密、实现分级管理功能。全球著名的多家食品企业都在用它进行配料生产。 回望2017年这些异彩纷呈的活动,我们可以看到奥豪斯人没有止步于百年品牌积淀带来的安适,在传承优秀企业精神的同时,还勇于创新、不断突破,打破以往的市场推广模式,转变视角,关注客户的真正需求。特别在最终用户的应用痛点解决方案上,交出了一份出色的答卷。相信在即将到来的2018年,奥豪斯将在探索与开拓的道路上,继续乘风破浪、一往无前!如果您想了解更多摇床系列或奥豪斯其他实验室设备的产品信息,或正在寻求更专业细致的选型指导,请及时联系我们,我们的工程师们将会在第一时间为您提供专业的解答和建议。
  • 梅特勒-托利多:六大类半导体用户在使用的成分测试、热性能分析等解决方案
    p style=" text-align: justify text-indent: 2em " 10月15日-16日,中国科学院半导体研究所、仪器信息网联合主办首届“半导体材料与器件研究与应用”网络会议(i Conference on Research and Application of Semiconductor Materials and Devices, iCSMD 2020),22位业内知名的国内外专家学者聚焦半导体材料与器件的产业热点方向,进行为期两日的学术交流。 br/ /p p style=" text-align: justify text-indent: 2em " 梅特勒托利多是一家全球领先的精密仪器及衡器制造商,全球员工总计16,200名,营业额达30亿美元,市场组织遍布全球40多个国家。在中国,梅特勒托利多在上海、常州、成都都设立了制造基地及研发中心,并拥有了遍布全国的销售及服务网络。iCSMD 2020邀请了梅特勒-托利多的分析仪器产品专家李玉琪,分享梅特勒-托利多为六大类半导体用户提供的各种解决方案实例和应用。 /p p style=" text-align: center text-indent: 0em " script src=" https://p.bokecc.com/player?vid=CABA4836C7A6484F9C33DC5901307461& siteid=D9180EE599D5BD46& autoStart=false& width=600& height=350& playerid=621F7722C6B7BD4E& playertype=1" type=" text/javascript" /script /p p style=" text-align: justify text-indent: 2em " 据介绍,芯片制造过程中涉及到大量梅特勒-托利多的产品,其用户也可大致分为六类:一是晶圆代工厂,在材料入厂检和中间控制步骤中涉及到天平、滴定仪、KF水分仪、pH计等;二是硅片制造厂商需要使用天平、滴定仪等对研磨液和清洗液进行含量分析;三是电子特气类客户,利用天平和比较器对配器进行称量;四是湿电子化学品客户,通过天平、滴定仪、KF水分仪、密度计和pH计等对一些成分含量进行分析测试和密度测试等;五是光刻胶厂商,使用KF水分仪、热分析仪、DSC和TGA等测定水分和热稳定性等;六是封装材料厂商,需要天平、DSC和TGA等对点胶和材料热性能进行测试。 /p p style=" text-align: justify text-indent: 2em " 李玉琪表示,半导体行业客户在实际仪器应用中面临着精度要求高、在线分析、庞大的数据管理、对接公司系统、安全要求高等痛点。针对这些痛点,梅特勒托利多推出了电位滴定仪,可应于湿电子化学品的含量分析、刻蚀液的分析以及光刻胶中显影液成分和水分含量分析。 /p p style=" text-align: justify text-indent: 2em " 梅特勒-托利多的电位滴定仪具有OneClick一键滴定、LabX软件双通道操作模式、StatuslightTM状态指示灯和声音信号喇叭、Coverup自动揭盖装置、Smart sampleTM实现滴定样品高效安全的无线传输和Smart chemicalTM实现化学试剂对滴定仪对话等功能,成功解决了客户痛点。与此同时,在半导体行业应用中,梅特勒-托利多的电位滴定仪还拥有干扰因素少、智能型滴定过程、易于操作和可扩展性等优势。 /p p style=" text-align: justify text-indent: 2em " 报告最后,李玉琪还介绍了梅特勒-托利多工业称重方案和分析仪表在半导体行业的应用。 /p p br/ /p
  • 北京环保回应“水中PM2.5”:不会对健康产生明显影响
    p   10月17日,北京环保宣传中心官方微博@@京环之声今日发帖回应媒体报道《23省44城市自来水检出疑似致癌物》。 /p p   @@京环之声表示,清华大学研究人员历时3年,在全国23个省、44个城市和城镇、155个点位采集了164个水样。水样涵盖水厂出厂水、家庭自来水和水源水,这是迄今为止国内规模最大最全面的一次调研。研究人员检测了自来水样中当前已知的全部9种亚硝胺类消毒副产物,其中NDMA(亚硝基二甲胺)是亚硝胺类化合物中含量最高的。 /p p   于是有的媒体写出了题为《23 省 44 城市自来水检出疑似致癌物》的报道,一时间引起了大家的恐慌。 /p p   对此,@@京环之声进行了解读。 /p p    strong 亚硝胺是致癌物吗? /strong /p p   亚硝胺类化合物被国际癌症研究中心判定为 2A 类致癌物,即“动物致癌证据明确,但人类致癌证据不充分”。另有很多流行病学研究表明,亚硝胺类化合物与消化系统癌症有较明显的相关性。 /p p   听起来有点吓人的样子,那为什么自来水中会有亚硝胺类物质呢? /p p   亚硝胺是自来水消毒的副产物 /p p   自来水厂消毒通常会使用含氯消毒剂,在氯的作用下水中的少量污染物会变成消毒副产物,其中部分有机氮化物可以变为亚硝胺类物质。氯是最廉价且相对安全的消毒手段,多年来始终找不到它的替代品,因此亚硝胺等微量消毒副产物也无可避免,世界各国无一例外。 /p p   目前大多数学者的观点是:包括亚硝胺在内的自来水消毒副产物并不会对健康产生明显影响,但是如果不消毒,带来的危害可能更大。 strong WHO(世界卫生组织)的饮用水指南也持同样观点。 /strong /p p style=" text-align: center "    img src=" http://img1.17img.cn/17img/images/201611/insimg/071ce153-2644-44c1-822a-0e3c90fef5a0.jpg" title=" Ma5u-fxwvpat4957263.jpg" / /p p    strong 水中的亚硝胺危险吗? /strong /p p   既然目前的自来水中不可避免地会含有亚硝胺类物质,那么我们就来关注一下剂量。 /p p   本次清华大学的调查数据显示:中国自来水中 NDMA 的平均浓度大约是 11 ng/L 华东、华南地区,家庭自来水中的 NDMA 平均浓度大约在 18 ng/L 左右 长三角地区的家庭自来水中 NDMA 的平均浓度为 28 ng/L 左右。(注:1 ng/L,万亿分之一,即 0.0000000000001) /p p   这也是为什么新闻中说华东华南“最严重”的原因。 /p p   那么这些数据意味着什么呢?说明这些自来水都不能喝了吗? /p p   虽然自来水中的亚硝胺是一个研究热点,但只有少数国家和地区针对它制定了标准。比如美国加州制定了一个特别严苛的标准(10 ng/L),如果用这个标准衡量,中国自来水有 1 / 4 左右超标。 /p p   但是看看别的标准:加拿大卫生部的指导值是 40 ng/L 澳大利亚的指导值是 100 ng/L WHO 的指导值也是 100 ng/L。 /p p   如果用这些标准衡量,本次清华大学的调查中仅有极个别样本超过澳大利亚或世卫组织的标准,而超过加拿大标准的只占 7% 左右。也就是说,如果按照 WHO 的标准,这次检验中绝大多数水是安全的。 /p p   @@京环之声表示,虽然水要天天喝,但毕竟亚硝胺的浓度比较低。如果按照媒体报道的数据推算,即使你一辈子喝长三角的水,因为亚硝胺得消化道癌症的概率也只有十万分之几。 相对而言,其他来源的亚硝胺更值得关注。如:腌腊食品、烟草等。 /p p    strong 安全,不代表不需要改进 /strong /p p   @@京环之声同时坦言,这次检出 NDMA 的样本中,中国的平均浓度(中位数)大约是22 ng/L,而美国是4 ng/L。此外,无论检出亚硝胺类化合物的种类还是检出率,中国的情况都比美国更严重。如果和欧洲、日本相比,我们的差距更大。而且,清华的研究人员在长三角某县城的龙头水中检出了全国NDMA的最高浓度,是44个城市中唯一超过世界卫生组织100ng/L标准的,这归根结底,是源头污染的问题,所以解决问题重在控制源头污染。 /p p   @@京环之声最后强调,安全,不代表不需要改进。当然要尽可能减少亚硝胺这种可疑的致癌物,但如果盲目追求“高标准”,最后伤害的还是全体纳税人的利益。 /p
  • 科研强国首先应是科学仪器强国
    p   中国每年购买国外科学仪器设备的投入在400亿元以上,先进精密仪器几乎被国外垄断。科研仪器何时才能实现“中国造”? /p p   根据 strong 《中国科学仪器行业发展报告(2016)》 /strong 统计数据,中国每年购买国外科学仪器设备的投入在400亿元人民币以上。我国所用的众多科研仪器尤其是先进精密仪器几乎被西方发达国家所垄断。(《中国科学仪器行业发展报告(2017)》即将在4月15日“ a style=" color: rgb(0, 176, 240) text-decoration: underline " title=" " target=" _self" href=" http://www.instrument.com.cn/accsi/2018/" span style=" color: rgb(0, 176, 240) " 2018年第十二届中国科学仪器发展年会 /span /a ”上发布) /p p style=" text-align: center" img style=" width: 450px height: 600px " src=" http://img1.17img.cn/17img/images/201803/insimg/4b9b74d0-c561-4690-9f01-05e34a7237ba.jpg" title=" 1.jpg" height=" 600" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /p p   放眼世界,现在的科技强国几乎都是科研仪器制造强国。中国要想成为世界科技强国,加强先进科研仪器的研发是绕不开的道路。 strong 只有实现大量先进精密科研仪器的“中国造”,中国科技的发展才能够获得更为强劲的动力。 /strong /p p    /p center img style=" width: 450px height: 268px " title=" " alt=" 科研强国首先应是科学仪器强国" src=" http://p1.pstatp.com/large/pgc-image/15222029847306c9160ac32" height=" 268" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /center p   也许有人会说,不就是科研仪器嘛!只要国外有制造,我们的科研人员想法设法买过来使用就得了!科研仪器作为人类文明发展的共同成果,我们的确没有理由拒之门外。合理采购还能大大加快我国科技发展的进程,避免走很多弯路,在部分领域甚至还能实现弯道超车。但先进的精密科研仪器完全依赖进口则会导致我国科技发展出现更为严重的问题。 /p p   我们暂且不说一些国家出于先进技术出口的限制,不会卖给我们一些最先进的科研仪器,就是单纯在科学研究方面,很多科研仪器的制造本身就和一些科学技术的原创性研究密切结合在一起,要么是原创性科学原理、科学方法推动了相应科研仪器的诞生,要么是最新发明制造的科研仪器,开启了科学研究一个全新的时代。 /p p   这不管是上个世纪中叶DNA技术的突破,还是最近一些年在生命科学领域被热捧的冷冻电镜技术都是如此。2017年度,来自美国、英国和瑞士三个国家的三位科学家甚至还凭借上个世纪70年代至80年代中叶的冷冻电镜技术突破获得了诺贝尔化学奖。 /p p   在其他众多科技领域,往往也是这样的发展轨迹。也就是说, strong 很多时候,科研仪器研发与科学基础研究是密不可分的一对孪生兄弟。科研仪器对科技的巨大推动作用,如果我们没有清醒的认识,在中国科技发展的道路上我们将会犯下无法挽回的错误。 /strong /p p   实际上,这些年中国科技的发展已经存在这样的一些隐忧,尽管中国科技发展速度快,但是我们的很多研究成果放到国际平台上依旧还是跟随性研究、模仿性研究,甚至有大量的成果是水平不高的重复性研究,这样导致的一个严重后果是,在重大原创性科技成果方面,中国的突破受到严重羁绊。这些年,世界上众多划时代的科技突破,常常难以看到中国科学家的身影,与此也有很大的关系。 /p center img style=" width: 450px height: 278px " title=" " alt=" 科研强国首先应是科学仪器强国" src=" http://p3.pstatp.com/large/pgc-image/15222029847355307fe1589" height=" 278" hspace=" 0" border=" 0" vspace=" 0" width=" 450" / /center p style=" text-align: center "   (图片源自网络) /p p    strong 目前,我们的国产科研仪器主要存在三个方面的问题: /strong /p p   其一,由于研究制造能力不足,中国还没有形成供应链完善的先进精密仪器供应产业链,先进仪器严重匮乏,进行有关研究科研人员不得不花高价钱国外采购。就是国内已经能够生产的很多仪器,有相当一部分也都存在原创性不足的问题,因为大量模仿制造,有时就会面临知识产权方面的一些困扰。 /p p   其二,就是我们能够制造的众多科研仪器,有很多耐用性、精密程度不够也常常让研究人员大伤脑筋。这背后的核心问题是“工匠精神”的匮乏,精工制造没有被仪器制造人员当成不二的法则。当然这个问题也是我国其他众多工业产品这些年一个共同的毛病。 /p p   其三,科研人员过于迷恋进口科研设备,以采购进口科研仪器为荣,很多国内已经很普遍的普通科研仪器,也常常被进口取代。由于国产仪器的需求受到限制,就难以进入良性循环发展状态。 /p p   毋庸置疑,中国科技成就的取得,离不开国家的高度重视与支持,不断加大的科研经费投入起到了至关重要的作用,但是如果大量的费用都被用来买了设备,针对科研人员的投入就必然减少,这就会影响科技发展前景的步伐。如果采购的仪器中,大量能够通过国产化采购解决,大量节省的科研经费就可以用到科技人员身上。 /p p   现在和未来,我们暂时自己搞不了的尖端科研仪器,还是要通过进口解决。但是我们不能将这些例子作为一个普遍规律,来证明中国科研人员只要购买国外科研仪器就够了。 /p p   当前,国产科研仪器落后羁绊中国科技发展,已经是一个客观事实,如果还是普遍依赖于进口采购,中国的原创科技发展整体走向世界前沿就会面临着越来越大的挑战。 /p
  • 第六批制造业单项冠军名单出炉,多家仪企跻身光荣榜
    2021年11月8日,工信部公示了拟认定的第六批制造业单项冠军和拟通过复核的第三批制造业单项冠军名单。共有118家企业荣膺“第六批制造业单项冠军示范企业”称号,141个产品摘得“第六批制造业单项冠军产品”的桂冠。其中武汉高德红外股份有限公司(主营红外探测系统)、烟台艾睿光电科技有限公司(主营非制冷红外热成像产品)、华海清科股份有限公司(主营化学机械抛光设备)等仪器企业跻身本批“制造业单项冠军示范企业”名单。此外,北京六合伟业科技股份有限公司的测斜仪、深圳华大智造科技股份有限公司的高通量基因测序仪荣获本批“单项冠军产品”称号。本名单旨在促进我国制造业的创新能力和产品质量的提升,选拔细分产品领域的冠军企业,助力大国制造的理念腾飞,提升中国的国际竞争力。参选企业由企业自行申报和各地工信主管部门、央器特别推荐几部分构成。列入光荣榜的企业和产品都经过了相关行业协会限定性条件论证和专家组论证。拟认定的第六批制造业单项冠军名单一、单项冠军示范企业序号示范企业名称主营产品1江苏南大光电材料股份有限公司电子半导体材料(MO源和离子注入气体)2山东华菱电子股份有限公司热敏打印头3云南临沧鑫圆锗业股份有限公司先进金属锗材料4厦门汉印电子技术有限公司热敏打印机5通威太阳能(合肥)有限公司太阳能电池6格科微电子(上海)有限公司CMOS图像传感器7杭州中科微电子有限公司北斗导航芯片及模块8重庆美利信科技股份有限公司通信结构件9江西兴泰科技有限公司电子纸10浙江洁美电子科技股份有限公司薄型封装纸带11深圳市金溢科技股份有限公司ETC车载单元12智洋创新科技股份有限公司电力智能运维分析管理系统13华海智汇技术有限公司海底通信系统中继设备14深圳创维数字技术有限公司超高清数字电视接收机 15江西立讯智造有限公司真无线立体声(TWS)蓝牙耳机16宁波微科光电股份有限公司红外线扫描电梯光幕17武汉高德红外股份有限公司红外探测系统18营口金辰机械股份有限公司太阳能电池组件自动化生产线及其配套设备19深圳传音控股股份有限公司人工智能深肤色影像移动终端20锦浪科技股份有限公司户用光伏逆变器21烟台艾睿光电科技有限公司非制冷红外热成像产品22中广核达胜加速器技术有限公司工业辐照用电子加速器23新华三技术有限公司企业网无线设备24华海清科股份有限公司化学机械抛光设备25江苏亨通海洋光网系统有限公司海底光缆26江苏海鸥冷却塔股份有限公司机力通风冷却塔27力博重工科技股份有限公司长距离大运力复杂线路带式输送机28亿嘉和科技股份有限公司电力智能巡检机器人29黑旋风锯业股份有限公司金刚石锯片基体30大连华锐重工焦炉车辆设备有限公司炼焦机械设备31通化建新科技有限公司镍铁冶炼成套设备及其生产线32北人智能装备科技有限公司卷筒纸平版书刊印刷机33山东普利森集团有限公司高效智能深孔机床34恒锋工具股份有限公司复杂刀具35合肥泰禾智能科技集团股份有限公司色选机36广州高澜节能技术股份有限公司电力电子装置用纯水冷却设备37合肥恒大江海泵业股份有限公司潜水电泵38杭州科百特过滤器材有限公司高性能微孔膜滤芯39山东汇丰铸造科技股份有限公司工程机械起重机用铸造卷筒40浙江正泰电器股份有限公司低压智能断路器41通号(西安)轨道交通工业集团有限公司轨道交通信号基础装备42山西中设华晋铸造有限公司履带板及大型矿山设备用铸件43卡斯柯信号有限公司列车运行控制系统44广东富华重工制造有限公司挂车车轴45中国铁建高新装备股份有限公司铁路大型养护装备46广州市浩洋电子股份有限公司影视舞台灯47江苏威尔曼科技有限公司电梯感应式一体化人机交互装备48宁波培源股份有限公司减震器活塞杆49宁波杜亚机电技术有限公司管状电机50宁波东力传动设备有限公司冶金用高功率密度减速器51昆明云内动力股份有限公司四缸柴油发动机52大连瑞谷科技有限公司精密轴承保持架53日照兴业汽车配件股份有限公司商用车车架54山东华盛农业药械有限责任公司割灌机55安阳凯地电磁技术有限公司工业液压阀用电磁铁56雪龙集团股份有限公司商用车发动机冷却风扇总成57广州瑞立科密汽车电子股份有限公司商用车气制动防抱死制动系统(ABS)58宁波信泰机械有限公司汽车车身外饰条59山东金帝精密机械科技股份有限公司轴承保持架60江苏精研科技股份有限公司金属粉末注射成形零部件61利欧集团股份有限公司微小型动力式泵62泰尔重工股份有限公司万向联轴器63青岛征和工业股份有限公司滚子链64北京天宜上佳高新材料股份有限公司动车组粉末冶金闸片65浙江万向精工有限公司乘用汽车轮毂轴承单元66常州星宇车灯股份有限公司汽车车灯67江苏丰尚智能科技有限公司饲料加工成套装备68青岛天能重工股份有限公司兆瓦级风力发电机组塔架69镇江大力液压马达股份有限公司摆线液压马达70宁波达尔机械科技有限公司高精密微型深沟球轴承71中际联合(北京)科技股份有限公司风电专用高空安全作业设备72宁波色母粒股份有限公司彩色塑料色母粒73东营国安化工有限公司再生润滑油基础油74广东邦普循环科技有限公司循环再造动力锂电池正极材料镍钴锰酸锂75河南银金达新材料股份有限公司功能性聚酯热收缩(PETG)薄膜76浙江龙盛集团股份有限公司染料及中间体77湖北仙粼化工有限公司丁酮肟、乙醇胺78恒力石化(大连)有限公司精对苯二甲酸(PTA)79江西蓝星星火有机硅有限公司硅氧烷类产品80成都硅宝科技股份有限公司有机硅密封胶81杭州格林达电子材料股份有限公司TMAH显影液82龙口联合化学股份有限公司大分子颜料单体着色剂83洛阳涧光特种装备股份有限公司石油焦密闭除焦系统84浙江浦江缆索有限公司桥梁缆索85山东鲁银新材料科技有限公司高性能钢铁粉末86青岛云路先进材料技术股份有限公司铁基非晶合金带材87首钢智新迁安电磁材料有限公司电工钢88江西悦安新材料股份有限公司羰基铁粉89宁波长振铜业有限公司高精密铜合金端面型材90山西亮宇炭素有限公司铝用阴极炭块91新疆众和股份有限公司铝电子材料92山东天岳先进科技股份有限公司半绝缘碳化硅衬底93河南天马新材料股份有限公司流延成型电子陶瓷基板用特种氧化铝94湖北平安电工科技股份公司云母制品95山东鲁阳节能材料股份有限公司陶瓷纤维制品96江苏联瑞新材料股份有限公司电子级二氧化硅微粉97泰山玻璃纤维有限公司玻璃纤维及制品98淄博工陶新材料集团有限公司陶瓷溢流砖及配套材料99河南四方达超硬材料股份有限公司聚晶复合片100宁波大发化纤有限公司再生涤纶短纤维101华熙生物科技股份有限公司透明质酸102青岛海尔特种电冰柜有限公司家用卧式冷冻箱103宁波利时日用品有限公司环保可循环高温共聚聚酯104泰山恒信有限公司食品酿造自动化勾调控制系统装备105广东美的厨房电器制造有限公司微波炉106舒普智能技术股份有限公司智能特种工业缝纫机107深圳市科达利实业股份有限公司锂离子电池精密结构件108山东隆科特酶制剂有限公司食品用糖化酶109厦门长塑实业有限公司双向拉伸尼龙薄膜110保龄宝生物股份有限公司低聚异麦芽糖111江苏双星彩塑新材料股份有限公司聚酯塑料薄膜112山东同大海岛新材料股份有限公司超细纤维合成革113上海重塑能源科技有限公司商用车氢燃料电池系统114深圳市德方纳米科技股份有限公司纳米磷酸铁锂电池正极材料115合肥乐凯科技产业有限公司光学膜材料116河南瑞贝卡发制品股份有限公司高端发用功能型纤维材料117健帆生物科技集团股份有限公司一次性使用血液灌流器118青岛海尔生物医疗股份有限公司生物医疗低温存储设备二、单项冠军产品序号单项冠军产品名称生产企业1显示器模组苏州清越光电科技股份有限公司2应力转移型特强钢芯软铝型线绞线通光集团有限公司3单电感三输出AMOLED显示屏电源芯片圣邦微电子(北京)股份有限公司4高性能刚性覆铜板广东生益科技股份有限公司5特种连接器中航光电科技股份有限公司65G通信基站用多收多发印制电路板深南电路股份有限公司7多层陶瓷电容器成都宏科电子科技有限公司85G基站小型化金属滤波器深圳国人科技股份有限公司9基站滤波器大富科技(安徽)股份有限公司10电脑类聚合物锂离子电池珠海冠宇电池股份有限公司11PCB(印制电路板)油墨深圳市容大感光科技股份有限公司12显示用液晶材料石家庄诚志永华显示材料有限公司13射频微波MLCC大连达利凯普科技股份公司14NTC热敏电阻器孝感华工高理电子有限公司15片式电阻器广东风华高新科技股份有限公司16手机电磁屏蔽件深圳市长盈精密技术股份有限公司17OLED有机空穴传输材料(Red prime)陕西莱特光电材料股份有限公司18卫星应用技术设备航天恒星科技有限公司19VR全景相机影石创新科技股份有限公司20交互智能平板广州视睿电子科技有限公司21手机镜头浙江舜宇光学有限公司22减速永磁式步进电动机江苏雷利电机股份有限公司23路由器普联技术有限公司24大功率集散式光伏逆变器成套系统上能电气股份有限公司2555英寸液晶面板TCL华星光电技术有限公司26北斗高精度卫星导航接收机广州南方卫星导航仪器有限公司2710kV高压电子式电能表烟台东方威思顿电气有限公司28高压电源测试系统艾德克斯电子(南京)有限公司29塑机控制系统宁波弘讯科技股份有限公司30数字卫星接收机泉州天地星电子有限公司31楼宇对讲产品厦门狄耐克智能科技股份有限公司32超高清监控镜头福建福光股份有限公司33齿轮减速机江苏国茂减速机股份有限公司34轴流式调节阀博思特能源装备(天津)股份有限公司35折弯机江苏亚威机床股份有限公司36连续重整加热炉辐射集合管辽阳石化机械设计制造有限公司37电主轴广州市昊志机电股份有限公司38智能矿用架空乘人装置湘潭市恒欣实业有限公司39测斜仪北京六合伟业科技股份有限公司40智能水表宁波水表(集团)股份有限公司41煤矿井下定向钻进装备中煤科工集团西安研究院有限公司42工业流程能量回收装置西安陕鼓动力股份有限公司43磁选设备山东华特磁电科技股份有限公司44旋片真空泵浙江飞越机电有限公司45机房空调维谛技术有限公司46防爆柴油机无轨胶轮车山西天地煤机装备有限公司47橡胶冷喂料挤出机中国化学工业桂林工程有限公司48悬臂梁施工装备山东博远重工有限公司49金刚石工具用预合金粉河南黄河旋风股份有限公司50煤矿井下用防爆车常州科研试制中心有限公司51全自动卷筒商标印刷机浙江炜冈科技股份有限公司52地质岩心钻探钻具金石钻探(唐山)股份有限公司53刮板输送成套设备中煤张家口煤矿机械有限责任公司54烧结成套设备湖南中冶长天重工科技有限公司55冲压焊接多级离心泵南方泵业股份有限公司56列车运行记录装置(LKJ)湖南中车时代通信信号有限公司57钩缓装置青岛思锐科技有限公司58重卡精密转向机活塞金马工业集团股份有限公司59电力机车中车株洲电力机车有限公司60辊压机成都利君实业股份有限公司61隔离开关接地开关类产品湖南长高高压开关有限公司62城际动车组中车青岛四方机车车辆股份有限公司63轨道交通车辆智能检修重大成套装备北京新联铁集团股份有限公司64城市轨道交通站台安全门方大智创科技有限公司65高速铁路牵引供电综合自动化系统天津凯发电气股份有限公司66中重型商用车前轴湖北三环车桥有限公司67铸造砂型3D打印设备共享智能装备有限公司68新能源汽车驱动系统压铸总成浙江华朔科技股份有限公司69风力发电用电缆远东电缆有限公司70交流电力机车中车大连机车车辆有限公司71电气化铁路接触网产品中铁高铁电气装备股份有限公司72吹瓶模具广东星联精密机械有限公司73110kV及以上高压超高压交联聚乙烯绝缘电力电缆青岛汉缆股份有限公司74混凝土泵车中联重科股份有限公司75履带起重机浙江三一装备有限公司76换向器深圳市凯中精密技术股份有限公司77卡车用轻型柴油发动机北京福田康明斯发动机有限公司78叉车门架滚动轴承江苏万达特种轴承有限公司794MZ型自走式棉花收获机新疆钵施然智能农机股份有限公司80工商用开启式螺杆制冷机组及冷冻系统冰山冷热科技股份有限公司81全冷式超大型液化石油气运输船(VLGC)江南造船(集团)有限责任公司82滚装船招商局金陵船舶(南京)有限公司83海上浮式生产储油装置(FPSO) 上海外高桥造船有限公司84超大型原油船(VLCC)大连船舶重工集团有限公司
  • 508项!浙江省公布2023年度“尖兵”“领雁”研发攻关计划项目
    近日,浙江省科学技术厅公布“高分辨率成像光谱仪关键技术与设备”、“重大疾病创新药物研发”等508项2023年度“尖兵”“领雁”研发攻关计划项目,其中包括157项“尖兵”计划项目,249项“领雁”计划项目以及102项重大社会公益计划项目。具体项目清单如下:2023年度第一批“尖兵”“领雁”研发攻关计划拟立项项目清单序号项目名称项目承担单位项目负责人一、尖兵计划项目1高可靠性高端多控存储系统杭州宏杉科技股份有限公司胡微2虚拟人制作关键技术研究及应用浙江核新同花顺网络信息股份有限公司谌明35G毫米波信道仿真模拟平台杭州电子科技大学宋朝晖4面向海量物联感知数据汇聚的大数据分析治理平台浙江大华技术股份有限公司殷俊5高参数热端部件用特种合金管材制造工艺研发及产业化浙江久立特材科技股份有限公司刘正东6高碳a-烯烃及乙烯-高碳a-烯烃共聚物浙江石油化工有限公司林庆富7乙烯法合成MMA关键技术及在高端PMMA树脂中的应用示范浙江新和成股份有限公司李浩然8海上漂浮式风机关键技术研究与应用浙江金风科技有限公司翟恩地9航空复合材料机身壁板成型关键技术研究及应用浙江华瑞航空制造有限公司孙成10大尺寸碳化硅衬底技术杭州乾晶半导体有限公司王明华11氮化镓基功率电子器件产业化技术与示范应用浙江大学吴新科12高密度异构系统集成高性能芯片封装技术长电集成电路(绍兴)有限公司梁新夫13集成电路高电压、大功率晶圆级电性能测试设备及系统杭州广立微电子股份有限公司杨慎知14三温ATC平移式集成电路测试分选装备研发及应用杭州长川科技股份有限公司鲍军其1512英寸硅片最终抛光设备的研制及应用浙江晶盛机电股份有限公司李阳健16高端半导体装备超洁净泵的研发与应用浙江大学胡亮17多通道高精度模数转换器芯片研究浙江地芯引力科技有限公司朱樟明18集成电路设计工艺协同优化国产化软件/流程开发与验证浙江大学任堃19面向SOC应用的嵌入式阻变存储器关键技术研发及产业应用杭州电子科技大学王敦辉20面向高精度激光雷达的大尺寸大转角MEMS振镜技术研发及示范杭州士兰微电子股份有限公司王敏昌21面向人工智能的光子计算芯片研制杭州光智元科技有限公司沈亦晨22工业成像探测与一体化通信系统浙江大学张朝阳23海量多模态数据采集与治理关键技术及系统浙江工业大学肖刚24海量多模态数据采集与治理关键技术及系统浙江理工大学黄静25通用流程模拟软件浙江中控软件技术有限公司褚健26自主可控工业互联网边缘智能安全防护系统和平台杭州迪普科技股份有限公司钱雪彪27自主可控开放互联的工业自动化系统平台杭州和利时自动化有限公司朱毅明28多模态遥感数据规整及在轨智能融合平台宁波大学孙伟伟29海洋天基混合5G通信终端和系统联通(浙江)产业互联网有限公司蒋从锋30基于多重知识表达的智能物联融合感知与计算德清阿尔法创新研究院李向阳31基于物联感知的民航飞机四舱立体监测关键技术研究北京航空航天大学杭州创新研究院胡海苗32空地物联的高亚音速智能无人机集群系统关键技术研究及应用示范浙江清华长三角研究院陈国强33空地物联的高亚音速智能无人机集群系统关键技术研究及应用示范杭州牧星科技有限公司侯鑫34面向大规模密集部署的低功耗物联网实时通信协议关键技术研发及应用示范浙江大学高艺35声学传感装置与声音事件识别技术研究及应用示范杭州爱华智能科技有限公司熊文波36视频监控专用微型大容量存储器杭州海康威视数字技术股份有限公司张庚37量子计算机操作系统及云服务平台浙江大学卢丽强38AI数据库系统浙江大学伍赛39多模数据端云协同感知训练系统杭州涿溪脑与智能研究所丁贵广40面向未来元社区的快速建模与智能虚实交互关键技术研究与应用示范浙江大学王锐41面向未来元社区的快速建模与智能虚实交互关键技术研究与应用示范浙江卓锐科技股份有限公司邓非42面向未来元社区的快速建模与智能虚实交互关键技术研究与应用示范浙江理工大学蒋明峰43面向元宇宙场景的分布式人工智能云平台、设备及示范浙江工商大学徐晓刚44面向元宇宙场景的分布式人工智能云平台、设备及示范浙江大学吴超45面向元宇宙的数字虚拟内容人机协同制作平台杭州电子科技大学郑博仑46面向元宇宙的自然人机交互设备与系统浙江大学邹常青47面向元宇宙基础视觉算法能力的人工智能模型算法平台杭州电子科技大学张继勇48服装产业核心基础软件(CAD)研发及应用示范浙江大学金小刚49智能监管与合规风险监控一体化平台研发与应用恒生电子股份有限公司林金曙50大能量绿光纳秒脉冲光纤激光器浙江热刺激光技术有限公司刘江51多参量可调控高功率飞秒激光器杭州奥创光子技术有限公司杨直52高通量激光纳米3D光刻系统关键技术及应用浙江大学李海峰53高温服役构件的激光复合热障涂层材料关键技术研究与应用浙江省冶金研究院有限公司周夏凉54纳米级高精度多材料协同3D打印装备研制与应用杭州电子科技大学孔哲55中厚板激光-电弧复合焊接关键技术与装备奔腾激光(浙江)股份有限公司王梁56超大型精密数控车磨复合机床浙江天马轴承集团有限公司马兴法57超精密数控机床智能快速刀具伺服装备浙江大学杭州国际科创中心陈远流58高精度数控立式磨齿机浙江陀曼智能科技股份有限公司俞朝杰59高性能内置电机直驱伺服动力刀塔海辰精密机械(嘉兴)股份有限公司胡晓东60面向工业母机制造的高精度数控龙门导轨磨床整机研发及应用浙江杭机股份有限公司秦炜61面向航空高性能制造的精密五轴联动加工中心研发及应用浙江永力达数控科技股份有限公司刘晓健62大功率模组器件封装测试关键技术研究及装备研制杭州沃镭智能科技股份有限公司李楚杉63纺织品表面质量机器视觉在线检测技术研究及装备研制浙江灿宇纺织有限公司潘海鹏64纺织品表面质量机器视觉在线检测技术研究及装备研制杭州国辰机器人科技有限公司容典65钢轨道岔高能束强化关键技术与装备浙江工业大学陈智君66直流特高压智能化换流及运维成套装备研发杭州柯林电气股份有限公司崔福星67大负荷重载机器人关节RV减速器研制及产业化浙江环动机器人关节科技有限公司朱忠刚68高分辨率大成像范围的3D视觉传感器研发及产业化杭州蓝芯科技有限公司高勇69高分辨率大成像范围的3D视觉传感器研发及产业化杭州欧镭激光技术有限公司张瓯70高精度高可靠性谐波减速器性能提升与应用恒丰泰精密机械股份有限公司杨荣刚71云边端一体化工业机器人操作系统研发及应用示范北京航空航天大学杭州创新研究院刘旭东7214nm-28nm光刻制程用富硅抗反射涂层研发与产业化中国科学院宁波材料技术与工程研究所宋育杰73高频高速印刷电路板用超低介电聚苯醚研发与产业化杭州聚丰新材料有限公司张才亮74光刻胶显影液用特种表面活性剂研发及产业化浙江皇马科技股份有限公司金一丰75光学级碳酸酯共聚物研发与产业化拓烯科技(衢州)有限公司王果76高磁通非晶纳米晶铁基软磁合金及非平衡凝固制备技术研发及产业化浙江大学吴琛77高性能钕铁硼磁体强韧化关键技术研发及产业化浙江英洛华磁业有限公司何剑锋78基于超临界磁耦合作用调控的高温钴基永磁材料研发及产业化杭州电子科技大学赵利忠79半导体、IC装备、核电等领域用超高纯不锈钢材料及管件研发与产业化永兴特种材料科技股份有限公司陈根保80核电用超高纯不锈钢材料研发与产业化湖州盛特隆金属制品有限公司朱柏荣81高性能合金丝/线材制备关键技术及产业化浙江佳博科技股份有限公司薛子夜82特种钢瓶用高性能合金钢及应用研发浙江金盾压力容器有限公司马夏康83新能源专用银基触点材料关键技术研发及产业化应用台州学院朱流84天然生物医用材料的结构性能优化及示范应用浙江工业大学陈思85国产大飞机用高性能高分子合金热塑板制备关键及产业化浙江晶通新材料集团有限公司李猛飞86星型支化溴化丁基橡胶低温可控阳离子聚合反应工艺关键技术开发及产业化浙江信汇新材料股份有限公司任纪文87高端环保重型商用车离合器摩擦材料研发及其产业化浙江奇碟汽车零部件有限公司陈哲88智能集成电路芯片胶(阻燃导热屏蔽多功能固晶用)关键材料研究与开发杭州之江有机硅化工有限公司桑广艺89高效净水无机多孔功能材料的开发及应用杭州上拓环境科技股份有限公司谭斌90特种功能涂层材料关键技术研发及产业化浙江鱼童新材料股份有限公司王立平91微纳功能粉体宏量制备技术研发及应用浙江福莱新材料股份有限公司夏建峰92基于电动汽车用高耐压半导体热敏陶瓷材料与器件的研发及产业化海宁永力电子陶瓷有限公司王焕平93芯片测试用关键电子材料研发与产业化浙江金连接科技股份有限公司曹镭94芯片制程用关键电子材料研发与产业化同创(丽水)特种材料有限公司李桂鹏95高性能超高分子量聚乙烯纤维基复合材料研发及产业化龙游龙纤新材料有限公司童红心96高性能细旦聚苯硫醚(PPS)纤维开发及产业化浙江新和成特种材料有限公司周贵阳97海洋工程用聚酯工业丝绳索研发及产业化现代纺织技术创新中心(鉴湖实验室)李航宇98节能降碳型生态液体染料关键制备与染整技术及应用示范现代纺织技术创新中心(鉴湖实验室)崔志华99碳纤维预制体的成型、浸渍及树脂复合关键技术与产业化绍兴宝旌复合材料有限公司陈立峰100高性能荧光传感材料及器件研发与应用示范杭州集智机电股份有限公司钱国栋101融合半导体制程的微纳光学元件的研发与应用浙江水晶光电科技股份有限公司伍未名102智慧城市红外光学材料研发及产业化杭州光学精密机械研究所姜雄伟103高玻璃化转变温度共聚酯的合成与产业化浙江长宇新材料股份有限公司杨利平104正辛基三乙氧基硅烷生产工艺开发浙江开化合成材料有限公司周少东105高端应用型无卤阻燃导热有机硅灌封胶的研发及产业化示范浙江科峰有机硅股份有限公司李云峰106高分子量聚碳酸亚丙酯关键合成技术及产业化华峰集团有限公司崔燕军107低介电、高反射超临界二氧化碳微孔发泡光伏胶膜制备关键技术及产业化杭州福斯特应用材料股份有限公司郑炯洲108整体成型全塑尾门用减震降噪长玻纤增强聚丙烯材料产业化浙江普利特新材料有限公司赵丽萍109高纯化学试剂的研发与产业示范浙江大学邢华斌110高端香料二氢茉莉酮酸甲酯的精准合成及产业化浙江大学王勇111高性能催化剂及其产业化应用浙江蓝德能源科技发展有限公司任旭华112高性能催化剂及其产业化应用上虞新和成生物化工有限公司龚鹏宇113面向医用防护服三抗功能化助剂的设计、开发与应用示范浙江传化功能新材料有限公司杨小波114近红外反射功能包覆型氧化铁绿颜料关键技术研发及产业化浙江华源颜料股份有限公司潘国祥115功能性糖与糖醇绿色生物制造关键技术开发及产业化浙江华康药业股份有限公司李勉116500kV超高压电缆用可交联聚乙烯绝缘料产业化浙江太湖远大新材料股份有限公司赵勇117PEM水电解制氢用全氟磺酸树脂的开发与应用浙江巨圣氟化学有限公司陈振华118百万吨级乙烯工程超大口径轴流止回阀开发浙江兴核智能控制技术有限公司张光119机理模型和大数据AI技术双驱动的高端聚烯烃智能优化系统开发浙江卫星能源有限公司阳永荣120面向高端聚合物材料规模化制备的高粘聚合与高效脱挥关键技术与装备浙江大学衢州研究院冯连芳121超大型企业集团数字生态与智慧供应链协同集成平台物产中大数字科技有限公司朱海洋122面向复杂场景的数据服务平台关键技术研究及应用闪捷信息科技有限公司张黎123面向复杂场景的数据服务平台关键技术研究及应用杭州数梦工场科技有限公司崔晓峰124数据中心主被动复合冷却系统关建技术研究与应用华信咨询设计研究院有限公司柴士恒12515MW级海上风电机组集成式高功率密度轻量化传动系统研制开发浙江运达风电股份有限公司孙勇126掺氢天然气低NOx燃烧紧凑式冷凝锅炉中国计量大学徐江荣127加氢站用无缝不锈钢内胆碳纤维全缠绕高压储氢容器浙江蓝能燃气设备有限公司陈凡128高比例新能源虚拟电厂云-边-端智能协同运行关键技术与装备研究国网浙江新兴科技有限公司朱承治正泰新能科技有限公司厉小润132柔性薄膜光伏低损耗互联技术开发及产业化应用浙江尚越新能源开发有限公司任宇航133车载高性能中央超算控制系统研发及应用浙江绿色智行科创有限公司李献菁134
  • 力扬高通量液相/高效薄层色谱研讨会(沈阳)
    辽宁省食品药品检验所 力扬企业有限公司 邀 请 函 尊敬的女士/先生: 兹定于2009年11月12日,由力扬企业有限公司于辽宁沈阳举办: 二维高效液相色谱法、高效薄层色谱法及溶出方法应用研讨会 届时将由: 1、瑞士卡玛(CAMAG)公司高级产品经理Jan Masthoff先生主讲:高效薄层色谱方法的标准化和薄层色谱技术最新进展,包括高效薄层色谱-质谱联用技术等; 2、瑞士卡玛中国技术支持中心高级工程师田润涛先生主讲:《中国药典》2005年版中药材薄层色谱彩色图集;高效薄层色谱法在中药质量控制和研发中的应用;全二维制备型高效液相色谱法和HPTLC-MS及生物自显影联用技术在天然活性成分高通量筛选中的应用 3、瑞士莎特士(SOTAX)公司溶出仪产品专家聂晶女士主讲:溶出度仪的选型参考和美国USP4法介绍; 我们诚邀阁下您届时拨冗莅临。 会议安排: 08:30- 09:00 签到 09:00-11:40 薄层色谱最新进展、最新应用领域 (中药、食品) Jan Masthoff 11:40-12:00 技术答疑 12:00-13:00 午餐 13:00-14:10 溶出度仪的选型参考和美国USP4法介绍 聂 晶 14:10-15:20 《中国药典薄层色谱彩色图谱集》及其它最新技术应用 田润涛 15:20-16:30 全二维制备型高效液相色谱法和HPTLC-MS及生物自显影联用技术在天然活性成分筛选中的应用 田润涛16:30-17:00 技术答疑 17:00 会议结束 会议时间: 2009年11月12日(星期四) 会议地点: 辽宁省食品药品检验所五楼会议室 交通指南: 沈阳北站乘216路公交车;沈阳站乘216、103、501路公交车在铁西区兴华公园站下车穿过右侧兴华公园,对面灰白色大楼。 联系信息力扬公司 曹莉 田润涛 电话:010-65278522/82 传真:010-65283903 , e-mail:ccao@nikyang.com
  • 跨界收购!高分子材料企业拟1.19亿元购半导体设备企业51%股权
    近日,一起跨界收购案引起关注。11月9日,至正股份收到上交所《关于对深圳至正高分子材料股份有限公司对外收购事项的问询函》。11月8日,公司称,拟以现金方式收购苏州桔云科技有限公司51%股权。公告披露,本次收购标的主营半导体专用设备,与公司目前主业无关。公告称,实施本次交易旨在从原有的电线电缆用高分子材料业务向半导体设备领域拓展,提升公司盈利能力。据了解,至正股份是专业从事环保型低烟无卤聚烯烃电缆高分子材料的高新技术企业,定位于中高端电线电缆用绿色环保型特种聚烯烃高分子材料市场,属于国内电线电缆用高分子材料领先企业中的专业企业。 公司主营业务为电线电缆、光缆用绿色环保型聚烯烃高分子材料的研发、生产和销售,公司产品被作为绝缘材料或外护套料广泛应用于电线电缆及光缆的生产过程中。公司目前产品主要分为以下三大类:光通信线缆、光缆用特种环保聚烯烃高分子材料;电气装备线用环保型聚烯烃高分子材料;电网系统电力电缆用特种绝缘高分子材料。11月10日,至正股份发布《深圳至正高分子材料股份有限公司拟以现金收购苏州桔云科技有限公司股权资产评估报告》。资料显示,苏州桔云成立于2019年6月,主要从事半导体专用设备的研发生产和销售,主要产品包括半导体清洗机、腐蚀机、烘箱、分片机、显影机、涂胶机等。公司于2020年推出半导体清洗设备、刻蚀设备和显影设备二代机型,现已成为长电科技、禾芯半导体、芯德半导体、全球化半导体设计与制造企业T公司等知名半导体企业的设备提供商,公司的产品能够有效提升客户的生产效率、产品良率并降低生产成本,已取得良好的市场口碑公司。设备主要使用于后道先进封装制程,包括湿法清洗设备和蚀刻设备、涂胶/去胶设备、显影设备等。未来公司将以清洗机与烘箱为主力产品,持续向前道工艺拓展。
  • 力扬企业二维高效液相色谱法及溶出方法应用研讨会(北京)邀请函
    药品进行质量控制是保证药品安全有效的基础和前提。2010版的《中国药典》大幅度提高了药品的标准,充分表明了国家对药品质量的重视,再次从标准上给予药品安全以法律支持。为达到控制质量的目的,需要多角度,多层面来控制药品质量。2010版的《中国药典》标准中大量使用专属性较强的薄层色谱(TLC)鉴别技术。2005年版药典共收载薄层色谱鉴别1507项;2010年版药典仅新增薄层色谱鉴别就达2494项,除矿物药外均有专属性强的薄层鉴别方法,同时还扩大了新技术的应用,如薄层-生物自显影技术等方法,解决了常规分析方法无法解决的问题。为此作为有幸参与《中药材薄层色谱彩色图集》图谱工作的力扬企业有限公司,将于5月份在长春、北京、天津举办应用研讨会,特别邀请了瑞士CAMAG专家就国际上对药品质量控制的最新技术和方法与大家交流;同时力扬公司将结合《中国药典》,介绍薄层在药品质量控制和研发中的应用,期待您的参与。
  • 力扬企业二维高效液相色谱法及溶出方法应用研讨会(天津)
    药品进行质量控制是保证药品安全有效的基础和前提。2010版的《中国药典》大幅度提高了药品的标准,充分表明了国家对药品质量的重视,再次从标准上给予药品安全以法律支持。为达到控制质量的目的,需要多角度,多层面来控制药品质量。2010版的《中国药典》标准中大量使用专属性较强的薄层色谱(TLC)鉴别技术。2005年版药典共收载薄层色谱鉴别1507项;2010年版药典仅新增薄层色谱鉴别就达2494项,除矿物药外均有专属性强的薄层鉴别方法,同时还扩大了新技术的应用,如薄层-生物自显影技术等方法,解决了常规分析方法无法解决的问题。为此作为有幸参与《中药材薄层色谱彩色图集》图谱工作的力扬企业有限公司,将于5月份在长春、北京、天津举办应用研讨会,特别邀请了瑞士CAMAG专家就国际上对药品质量控制的最新技术和方法与大家交流;同时力扬公司将结合《中国药典》,介绍薄层在药品质量控制和研发中的应用,期待您的参与。
  • 力扬企业二维高效液相色谱法及溶出方法应用研讨会(吉林)
    药品进行质量控制是保证药品安全有效的基础和前提。2010版的《中国药典》大幅度提高了药品的标准,充分表明了国家对药品质量的重视,再次从标准上给予药品安全以法律支持。为达到控制质量的目的,需要多角度,多层面来控制药品质量。2010版的《中国药典》标准中大量使用专属性较强的薄层色谱(TLC)鉴别技术。2005年版药典共收载薄层色谱鉴别1507项;2010年版药典仅新增薄层色谱鉴别就达2494项,除矿物药外均有专属性强的薄层鉴别方法,同时还扩大了新技术的应用,如薄层-生物自显影技术等方法,解决了常规分析方法无法解决的问题。为此作为有幸参与《中药材薄层色谱彩色图集》图谱工作的力扬企业有限公司,将于5月份在长春、北京、天津举办应用研讨会,特别邀请了瑞士CAMAG专家就国际上对药品质量控制的最新技术和方法与大家交流;同时力扬公司将结合《中国药典》,介绍薄层在药品质量控制和研发中的应用,期待您的参与。   力扬企业高效薄层色谱法应用研讨会(天津)   力扬企业高效薄层色谱法应用研讨会(吉林)
  • 卡玛高效液相、薄层色谱法研讨会将举办
    兹定于2009年11月10日,由力扬公司举办:   二维高效液相色谱法、高效薄层色谱法及溶出方法应用研讨会(沈阳)   二维高效液相色谱法、高效薄层色谱法及溶出方法应用研讨会(济南)   届时将由:   1、瑞士卡玛(CAMAG)公司高级产品经理Jan Masthoff先生主讲:高效薄层色谱方法的标准化和薄层色谱技术最新进展,包括高效薄层色谱-质谱联用技术等   2、瑞士卡玛中国技术支持中心高级工程师田润涛先生主讲:《中国药典》2005年版中药材薄层色谱彩色图集 高效薄层色谱法在中药质量控制和研发中的应用 全二维制备型高效液相色谱法和HPTLC-MS及生物自显影联用技术在天然活性成分筛选中的应用   3、瑞士莎特士(SOTAX)公司溶出仪产品专家聂晶女士主讲:溶出度仪的选型参考和美国USP4法介绍   欢迎阁下光临!   会议时间:2009年11月10日(星期二)   会议地点:山东良友富临大酒店十八楼第一会议室   山东良友富临大酒店地址、电话:济南市历下区泺源大街5号 0531-86956888   会议安排:   8:30- 9:00 签到   9:00-11:40 薄层色谱最新进展、最新应用领域 (中药、食品) Jan Masthoff   11:40-12:00 技术答疑   12:00-13:00 酒店自助餐   13:00-14:10 溶出度仪的选型参考和美国USP4法介绍 聂 晶   14:10-15:20 《中国药典薄层色谱彩色图谱集》及其它最新技术应用 田润涛   15:20-16:30 全二维制备型高效液相色谱法和HPTLC-MS及生物自显   影联用技术在天然活性成分筛选中的应用 田润涛   16:30-17:00 技术答疑   17:00 会议结束   联系信息:力扬公司 曹莉 田润涛 电话:010-65278522/82 传真:010-65283903
  • 力扬高通量液相/高效薄层色谱研讨会邀请
    邀 请 函 尊敬的女士/先生:   兹定于2009年11月10日,由力扬企业有限公司于山东济南举办:   二维高通量高效液相色谱法、高效薄层色谱法及溶出方法应用研讨会   届时将由:   1、瑞士卡玛(CAMAG)公司高级产品经理Jan Masthoff先生主讲:高效薄层色谱方法的标准化和薄层色谱技术最新进展,包括高效薄层色谱-质谱联用技术等   2、瑞士卡玛中国技术支持中心高级工程师田润涛先生主讲:《中国药典》2005年版中药材薄层色谱彩色图集 高效薄层色谱法在中药质量控制和研发中的应用 全二维制备型高效液相色谱法和HPTLC-MS及生物自显影联用技术在天然活性成分筛选中的应用   3、瑞士莎特士(SOTAX)公司溶出仪产品专家聂晶女士主讲:溶出度仪的选型参考和美国USP4法介绍   我们诚邀阁下您届时拨冗莅临。 会议安排: 8:30- 9:00 签到 9:00-11:40 薄层色谱最新进展、最新应用领域 (中药、食品) Jan Masthoff 11:40-12:00 技术答疑 12:00-13:00 酒店自助餐 13:00-14:10 溶出度仪的选型参考和美国USP4法介绍 聂 晶 14:10-15:20 《中国药典薄层色谱彩色图谱集》及其它最新技术应用 田润涛 15:20-16:30 全二维制备型高效液相色谱法和HPTLC-MS及生物 田润涛16:30-17:00 技术答疑 17:00 会议结束 会议时间: 2009年11月10日(星期二) 会议地点: 山东良友富临大酒店十八楼第一会议室 酒店地址:济南市历下区泺源大街5号(泉城广场东侧),0531-86956888 联系方式: 力扬企业有限公司北京代表处 曹莉 / Claire Cao 电话 / Tel: 010-6527 8522 / 8582 传真 / Fax: 010-6527 3903 电邮 / E-mail: ccao@nikyang.com 力扬高通量液相/高效薄层色谱研讨会(沈阳) ---------------------------------------------------------------------------------力扬企业北京代表处 / Nikyang Ltd. Beijing Office CAMAG | SOTAX | SEPIATEC | CHEMSPEED | AVANTIUM | RAYTEST 瑞士卡玛(中国)技术支持中心 / CAMAG China Support Unit (CCSU) 北京市东城区建国门内大街8号中粮广场B1426 (100005) / B1426 COFCO Plaza, No.8 Jianguomen Nei Avenue, Beijing, China
  • 盘点华为投资的那些仪器企业
    深圳哈勃成立于2021年4月15日,是华为旗下的半导体产业投资平台之一。成立之初,深圳哈勃的注册资本为20亿元,随后在2019年9月份进行了第一轮增资,注册资本增至45亿元,增幅高达125%。换言之,从成立之初的20亿元到如今的70亿元,深圳哈勃注册资本增幅已高达250%。成立以后,华为哈勃投资了诸多企业,其中不乏仪器企业。对此,小编特统计了华为哈勃投资的那些仪器设备企业。企业产品时间投资情况特思迪精磨、研磨及抛光专业设备2022/2/11注册资本由1260万元增至1400万元,华为哈勃持股比例10%。晶拓半导体臭氧发生器、臭氧破坏器2021/12/6注册资本增至625万人民币,增幅25%。先普气体气体纯化设备2021/12/22注册资本增至1225万人民币,增幅22.5%。费勉仪器分子束外延设备2021/11/24注册资本由1000万元人民币增加至1066.67万元人民币,增幅为6.67%。天仁微纳纳米压印设备2021/7/30华为哈勃认缴出资额11.58万,持股比例约为5%。科益虹源准分子激光器2021/6/2注册资金从1.2亿元增加到2.02亿元,增长68%,其中华为哈勃占股4.76%,成第七大股东。全芯微电子匀胶显影机、去胶机、刻蚀清洗机等2021/1/23投资数额约214.29万元,投资比例占6.31%。中科飞测工业智能检测设备2020/9新增注册资本327.90万元,增资价格为15.25元/注册资本。
  • 小脑缺失也能健康活到24岁?
    小脑缺失也能健康活到24岁?早在2014年,几个中国医生就发现了一名24岁的年轻女性,居然没有小脑。在脑部CT显影下,发现大脑的一部分区域完全空白,没有任何神经组织。更令这些医生惊奇的是,这个年轻女性居然活到了24岁,似乎看起来和普通人没有什么区别。而这个女子则完全没有任何感觉,从小到大她都没有觉得有任何异样。这样再次说明了,我们对于大脑的可塑性的认识还非常浅陋。这个案例发表在《Brain》上面。在2014年的时候,这个女子常常抱怨她觉得恶心,有时候还犯困。她母亲她带到了山东省的医院进行检查。医生们没有发现任何问题,按照惯例,医生们进行了全身的CAT扫描检查。让医生们惊讶的是,该女子的小脑区域完全空白。小脑本应该是控制身体的运动平衡,以及动作学习以及一部分的语言学习功能,小脑占到整个大脑约10%的质量。据该女子的母亲回忆,她完全不知道自己的女儿的状况,也没有体会到女儿成长中遭受的苦难。该女子直到七岁大时候,还不能很好地行走,而且说话也不如同龄的孩子。除此之外,其他都正常。该女子现在已经结婚并育有一女,女儿健康,而且她的父母都没有神经系统相关疾病。研究人员们认为,这简直是不可思议,全球发现的案例非常少,一只手都数的过来。而且同样状况的案例中,患者大多数都死掉了。他们将这种情况命名为“原发性小脑发育不全”,患者大多数没有挺过青少年期。即便是成年期发现该病例的,往往都是在尸检时候才发现的。该女子可以说是幸运的,当然也是不幸的。现在她虽然并没有什么大的健康问题,只是语言不很流利,走路不很稳,但是显然她的大脑适应了没有小脑的日子。她的大脑的其他区域可能部分弥补了本来应该由小脑承担的任务。在2007年甚至发现还有个人大脑缺失了90%,但是过着还算正常的生活。医生们希望能够更多地了解人类的大脑,了解这些大脑是如何适应这些本应该是致命的脑部发育不全状况。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制