当前位置: 仪器信息网 > 行业主题 > >

半导体样品

仪器信息网半导体样品专题为您整合半导体样品相关的最新文章,在半导体样品专题,您不仅可以免费浏览半导体样品的资讯, 同时您还可以浏览半导体样品的相关资料、解决方案,参与社区半导体样品话题讨论。

半导体样品相关的资讯

  • 半导体杂质检测难?半导体专用ICP-MS来帮你!
    对Fab工厂而言,控制晶圆、电子化学品、电子特气和靶材等原材料中的无机元素杂质含量至关重要,即便是超痕量的杂质都有可能造成器件缺陷。然而半导体杂质含量通常在ppt级,ICP-MS分析时用到的氩气及样品基体都很容易产生多原子离子干扰,标准模式、碰撞模式下很难在高本底干扰的情况下分析痕量的目标元素。珀金埃尔默NexION系列半导体专用ICP-MS,凭借其独特的以动态反应池技术为基础的UCT(通用池)技术,既能实现标准模式、碰撞模式,也可以通过反应模式消除干扰,从根本上成功解决了多原子干扰的技术难题。晶圆中的金属杂质分析(UCT-ICP-MS)晶圆等半导体材料中的主要成分是硅。高硅基体的样品在传统的冷等离子体条件下分析,其中的耐高温元素硅极易形成氧化物。这些氧化物沉积在锥口表面后,会造成明显的信号漂移。NexION系列半导体专用ICP-MS在高硅基体的样品分析中采用强劲的高温等离子体,大大降低了信号漂移。通过通入纯氨气作为反应气,在DRC 模式下,有效消除了40Ar+ 对40Ca+、40Ar19F+ 对59Co+、40Ar16O+ 对56Fe+ 等的干扰。通过调节动态带通调谐参数消除不希望生成的反应副产物,克服了过去冷等离子体的局限,有效去除多原子离子的干扰。在实际检测中实现了10 ng/L 等级的精确定量,同时表现出良好的长期稳定性。基质耐受性:Si 基质浓度为100ppm 到5000ppm 样品100ppt 加标回收稳定性:连续进样分析多元素加标浓度为100ppt 的硅样品溶液(硅浓度为2000ppm)《NexION 300S ICP-MS 测定硅晶片中的杂质》NexION ICP-MS 测定半导体级盐酸中的金属杂质在半导体设备的生产过程中,许多流程中都要用到各种酸类试剂。其中最重要的是盐酸(HCl),其主要用途是与过氧化氢和水配制成混合物用来清洁硅晶片的表面。由于半导体设备尺寸不断缩小,其生产中使用的试剂纯度变得越来越重要。ICP-MS具备精确测定纳克/升(ng/L,ppt)甚至更低浓度元素含量的能力,是最适合测量痕量及超痕量金属的技术。然而,常规的测定条件下,氩、氧、氢离子会与酸基体相结合,对待测元素产生多原子离子干扰。如,对V+(51) 进行检测时去除 ClO+ 的干扰。虽然在常规条件下氨气与ClO+ 的反应很迅速,但如果需要使反应完全、干扰被去除干净,则需要在通用池内使用纯氨气。NexION系列半导体专用ICP-MS的通用池为四级杆,具备精准可控的质量筛选功能,可以调节RPq 参数以控制化学反应,防止形成新的干扰,有效应对使用高活性反应气体的应用。20% HCl 中各元素的检出限、背景等效浓度、10 ng/L 的加标回收率20% HCl 中典型元素ppt 水平标准曲线20% HCl 中加标50 ng/L 待测元素,连续分析10 小时的稳定性《利用NexION 2000 ICP-MS 对半导体级盐酸中的杂质分析》电子特气直接进样分析技术(GDI-ICP-MS)半导体所使用的特殊气体分析传统方法有两种:一种是使用酸溶液或纯水对气体进行鼓泡法吸收,然后导入ICP-MS进行分析;另一种是使用滤膜对气体中颗粒物进行收集,然后对滤膜消解后上机。然而无论是鼓泡法吸收还是滤膜过滤收集、消解,都存在样品制备过程容易被污染、鼓泡时间难以确定、不同元素在酸中溶解度不一样等各种问题,分析结果的可靠性和重现性都难以保证。GDI-ICP-MS系统可以将气体直接导入到等离子中进行激发,避免了额外的前处理步骤,具有方便、高效、不容易受污染等特点,从根本上解决传统方法的一系列问题。GDI-ICPMS气体直接进样技术GDI-ICPMS 直接定量分析气体中金属杂质GDI-ICP-MS法绘制的校准曲线(标准气体产生方式:在氩气中雾化标准溶液,这些标气对所有待测元素的线性都在0.9999以上)《使用气体扩散和置换反应直接分析气体中金属杂质》半导体有机试剂中纳米颗粒的分析(Single particle-ICP-MS)单颗粒ICP-MS(SP-ICP-MS)技术已成为纳米颗粒分析的一种常规手段,采用不同的进样系统,能在100~1000 颗粒数每毫升的极低浓度下对纳米颗粒进行检测、计数和表征。除了颗粒信息,单颗粒ICP-MS 还可以在未经前级分离的情况下检测溶解态元素浓度,可检测到ppb级含量的纳米颗粒,实现TEM、DLS等纳米粒径表征技术无法完成的痕量检测。用ICP-MS分析铁离子(56Fe+)时会受到氩气产生的40Ar16O+的严重干扰。利用纯氨气作反应气的动态反应池技术是消除40Ar16O+对铁离子最高丰度同位素56Fe+干扰最有效的途径,而只有对56Fe+的分析才能获得含铁纳米颗粒分析最低的检出限。90% 环己烷/10% 丙二醇甲醚混合液测定图谱,有含铁纳米颗粒检出TMAH 中含铁纳米颗粒结果图谱:(a)粒径分布;(b)单个含铁纳米颗粒实时信号TMAH 中含铁纳米颗粒粒径和浓度由Fe(OH)2 到总铁的质量换算《利用单颗粒ICP-MS在反应模式下测定半导体有机溶剂中的含铁纳米颗粒 》SP-ICP-MS技术测定化学-机械整平(CMP)中使用的元素氧化物纳米颗粒悬浮物的特性氧化铝和氧化铈纳米颗粒常用于纳米电子学和半导体制造行业中化学-机械 (CMP)半导体表面的平整。CMP悬浮物纳米粒子的尺寸分布特征以及大颗粒的辨别,是光刻过程质量控制的重要方面,会影响到硅晶片的质量。既可以测量可溶分析物浓度、又能测定单个纳米粒子的单颗粒模式ICP-MS(SP-ICP-MS)是分析金属纳米粒子的最有前途的技术。SP-ICP-MS技术具有高灵敏度、易操作、分析速度快的特点,纳米粒子引入等离子体中被完全电离,随后离子被质谱仪检测,信号强度与颗粒尺寸有关。因此SP-ICP-MS可为用户提供颗粒浓度(颗/mL),尺寸大小和尺寸分布。为确保一次只检测一个单颗粒,必须稀释样品以实现分辨的目的。这就要求质谱仪必须能够有很快的测量速度,以确保能够检测到在50nm纳米颗粒的瞬时信号(该信号变化的平均时间为300~500μs)。珀金埃尔默NexION系列半导体专用ICP-MS单颗粒操作模式能够采集连续数据,无需设置定位时间,每秒钟获取高达100 000个数据点。结合纳米颗粒分析软件模块,可以实现单颗粒纳米颗粒的准确分析。采集数据比瞬时信号更快的纳米信号积分图悬浮物1~4归一化颗粒尺寸分布频次图《使用单颗粒电感耦合等离子体质谱法(SP-ICP-MS)分析CeO2 化学机械抛光化浆料》On-line ICP-OES 在线监控磷酸中的硅含量在最新的立式3D NAND 闪存的生产工艺中,需要使用磷酸进行湿法刻蚀。在生产过程中,必须监控这种特殊的、高选择性氮化的磷酸中硅的含量,以控制工艺质量。当磷酸中硅含量发生改变时,必须排空并更换磷酸。在线ICP-OES技术响应迅速,可实现7天*24小时不间断检测,是最适合磷酸中硅含量监控的方法。而Avio500 紧凑的体积非常适合空间有限的Fab 厂;垂直炬管配合独特的切割尾焰技术,不需要任何维护也能获得最佳的数据稳定性。在线监控系统可实现:自动配制校准曲线7天*24小时全自动运行质控功能(超出线性范围则重新校准)可同时监控5个模块(多达20个采样点)允许ICP-OES在线或离线分析间切换点击链接获取文中提到的解决方案和更多半导体相关资料:http://e86.me/4qfk7N关于珀金埃尔默:珀金埃尔默致力于为创建更健康的世界而持续创新。我们为诊断、生命科学、食品及应用市场推出独特的解决方案,助力科学家、研究人员和临床医生解决最棘手的科学和医疗难题。凭借深厚的市场了解和技术专长,我们助力客户更早地获得更准确的洞见。在全球,我们拥有12500名专业技术人员,服务于150多个国家,时刻专注于帮助客户打造更健康的家庭,改善人类生活质量。2018年,珀金埃尔默年营收达到约28亿美元,为标准普尔500指数中的一员,纽交所上市代号1-877-PKI-NYSE。了解更多有关珀金埃尔默的信息,请访问www.perkinelmer.com.cn。
  • 针对半导体行业的十种半导体材料表征技术研究与应用
    半导体材料作为半导体产业链中的重要支撑,包括以硅、锗等为代表的元素半导体材料和以砷化镓、磷化铟、碳化硅和氮化镓为代表的化合物半导体材料,广泛应用于通讯、计算机、消费电子、汽车电子以及工业应用等众多产业。 半导体材料的发展和进步离不开先进的材料表征技术支撑。HORIBA作为检测及分析技术的领先供应商,可为半导体产业提供多种分析及检测技术。在材料表征技术方面,可为半导体材料研发及QC提供多种分析技术,包括薄膜厚度测量、晶型、应力、器件结温、缺陷、杂质、元素含量以及CMP研磨液粒径表征等;在制程监控环节, HORIBA可提供质量流量控制、化学药液浓度监测、终点检测及光掩模颗粒检测等技术。本次仪器信息网特采访了HORIBA Scientific 科学仪器事业部大客户经理熊洪武先生,请他分享了HORIBA在半导体材料检测方面的技术与解决方案。HORIBA Scientific 科学仪器事业部大客户经理 熊洪武熊洪武先生现任HORIBA Scientific 工业销售经理。进入分析仪器行业10年,负责HORIBA光栅光谱仪技术咨询和系统应用支持多年,对光谱测量系统选择有丰富的经验,具有光致发光光谱、拉曼光谱和荧光光谱等相关技术的应用经验。现主要负责HORIBA科学仪器在半导体等工业领域的应用推广工作。1、 请问贵司面向半导体行业用户推出了哪些仪器产品及相关检测方案?HORIBA针对半导体用户推测了多种检测方案,涉及到半导体的外延薄膜厚度及缺陷,衬底材料晶型,表面残余应力,器件结温,元素含量,多量子阱元素深度剖析以及CMP抛光液粒径分布检测等技术。仪器技术名称在半导体材料中的应用HORIBA仪器特点HORIBA推荐型号椭圆偏振光谱仪薄膜厚度、折射率、消光系数测量SiO2, SiNx等薄膜厚度测量,光刻胶等材料折射率消光系数PEM相调制技术的高稳定性高灵敏度可测量透明基底上的超薄膜UVSEL Plus拉曼光谱仪晶型、应力、温度、载流子浓度以及异物等分析;硅薄膜晶化率、SiC晶型、功率器件结温等,二维材料层数、晶格取向、缺陷以及掺杂等表征高光谱分辨率高空间分辨率宽光谱范围LabRAM Odyssey光致发光光谱仪带边发光/缺陷发光分析外延层质量及均匀性分析可选时间分辨光致发光(TRPL)研究载流子弛豫及扩散模块化结构设计可按需配置高光谱分辨率宽光谱范围SMS低温光致发光光谱仪测量硅单晶中硼、磷、铝、砷的元素含量超高光谱分辨率超低检测下限可提供定量标准曲线PL-D阴极荧光光谱仪缺陷检测,光强成像评价缺陷密度如线位错掺杂、杂质、包含物分析高效光学收集镜模块化光谱仪宽光谱范围探测H-CLUEF-CLUE辉光放电光谱仪元素含量随深度变化剖析LED多量子阱元素含量随深度剖析分析速度快操作简单无需制样GD Profiler 2碳硫分析仪 / 氧氮氢分析仪重掺硅中氧含量测量靶材中碳硫、氧氮氢元素含量测量清扫效率高高检测精度EMIA seriesEMGA series显微X射线荧光异物杂质分析、金属涂层厚度或凸点元素分析,封装布线中的离子迁移、缺陷、短路分析等高空间分辨率半真空模式XGT-9000激光粒度仪 / 纳米粒度仪CMP抛光液粒径分布及Zeta电位测量硅片切削液粒径分布测量全自动检测效率高可提供在线测量方案LA-960V2SZ-100V2离心式纳米粒度分析仪CMP抛光液高分辨率粒度分布测量可捕捉少量的杂质或团聚体高分辨率测量粒径分布制冷功能保持样品恒温CN-3002、 这些仪器主要解决半导体行业中的哪些问题?(相关检测项目在半导体行业中的重要意义)以椭圆偏振光谱仪为例,可以准确测量12寸硅晶圆上SiO2超薄膜的厚度,还为研发ArF光刻胶提供折射率消光系数的测量等,为国产替代材料的研发提供准确的标准工具;而拉曼光谱仪则可为功率半导体研究提供如衬底晶型鉴别,应力大小及分布测量以及功率器件结温测试等,在二维材料方面,由于其独特的特性,有望突破硅基器件面临的“瓶颈”而受到重视,拉曼光谱在二维材料层数、晶格取向、缺陷以及掺杂等表征方面发挥着重要作用;在光致发光(PL)方案中,除了提供常用的常温PL测量材料缺陷及均匀性外,还可以提供低温PL检测硅单晶中低至ppta级的P,B,Al,As元素的浓度,可为电子级多晶硅生产厂商的超低杂质含量检测提供有力手段;在元素表征方面,HORIBA拥有碳硫、氧氮氢分析仪,可为靶材元素分析、硅片中氧含量测量提供高灵敏的检测手段,辉光放电光谱仪(GD-OES)可为多量子阱结构元素深度剖析提供快速测量手段,而显微X射线荧光分析仪,可以为半导体封装过程中的狭窄图案涂层测厚或凸点元素成分分析,以及集成电路封装布线中的离子迁移、缺陷、短路分析等提供高空间分辨率的元素分布检测,同时在半导体生产过程中的异物分析过程中也发挥着不可或缺的作用。3、 贵司的仪器产品和解决方案具有什么优势?(原理、技术、成本、精度等方面的优势)以光谱仪类测量仪器为例,HORIBA是多种焦长光谱仪的供应商,可以覆盖从低到高光谱分辨率的应用需求,比如拉曼光谱仪和光致发光光谱仪拥有多种型号,满足各种光谱分辨率需求的应用。以拉曼光谱测量半导体材料应力和器件结温为例,光谱的峰位变化往往非常小,那么光谱分辨率越高,对峰位的定位就越准确,有助于区分微小的拉曼峰位位移;对低温PL测量硼、磷、铝、砷元素含量,光谱分辨率越高,对相邻的峰就越容易分开,尤其是在测量铝和砷元素浓度时,对光谱分辨率要求非常高,需要采用长焦距光谱仪以达到超高光谱分辨率的要求。4、当前,国内半导体用户是否对某类仪器提出了更高的技术要求(可举例说明)?贵司对此是否有相关应对之策?随着集成电路技术的进步和先进制程节点的推进,CMP工艺在集成电路中使用的使用也越来越多,对CMP材料种类和用来也在增加,并且对CMP抛光液材料也提出了更高的要求,例如对一些金属氧化物的纳米颗粒研磨液中的颗粒粒径分布,采用传统的粒度仪难以进行高精度的测量,而HORIBA推出的离心式纳米颗粒度分析仪CN-300是按粒径大小离心分类后进行测量的,可以一次测量就能得到宽范围的高精度结果,并且由于其高分辨率可以捕获到少量的杂质颗粒,这对应更高要求的CMP研磨液的研发来说极为重要。5、贵司当下比较关注的细分材料领域有哪些,是否会推出相关的仪器产品或解决方案?可以为用户解决什么科研难题? HORIBA科学仪器部门当前比较关注的半导体细分材料领域主要在两个方面:一个是在工业应用中的大硅片、光刻胶以及化合物半导体材料等领域;另外一个是在科研领域,主要包括二维材料等先进材料;我们已经陆续与一批客户进行合作并推出相应的解决方案,可以为用户提供薄膜厚度、分子结构、元素以及材料粒径分布等方面的分析表征解决方案。此外,我们在HORIBA的上海研发中心成立了科学仪器应用方案开发中心,计划针对半导体产业中可能应用到的相关技术与用户进行合作并进行相应的方法开发,为用户提供相应的解决方案。【行业征稿】若您有半导体行业相关研究、技术、应用、管理经验等愿意以约稿形式共享,欢迎自荐或引荐投稿联系人:康编辑word图文投稿邮箱:kangpc@instrument.com.cn微信/电话:15733280108
  • 如何在半导体红海“卷”品质?
    关于半导体制造半导体制造过程中,如果遭到污染,很容易造成晶片内电路功能的损坏,因此清洗工艺贯穿整个制造过程。电子级异丙醇(EIPA)是一款优秀的清洗剂,在高科技高精度要求的产业(如:芯片、液晶、磁头)精密电子元器件的超净清洗制程中起到了非常关键的作用。EIPA纯度要求越来越高电子工业配套的精细化工材料具有品种多、质量高、用量小、纯度要求苛刻等特点,对电子产品的性能影响巨大。近年来半导体市场需求快速增长,国内半导体企业蓬勃发展,为保持产品性能稳定,对作为清洁剂使用的EIPA纯度要求也越来越高,每一批次都要经过几轮入厂质检才能投入使用。超高纯度的清洗剂是高品质半导体产品的基础保障。目前全球范围内EIPA行业领先的企业,能把长碳链杂质做到ppb级别(10的负9次方,相当纳克级);金属元素杂质做到ppt级别(10的负12次方,相当皮克级)。为了保持精细化工原料的纯度要求,不管是原料制造商还是下游用户入厂质检都有严格的品控规范,要求避免任何可能存在污染的环节。如何达到电子行业严苛的污染物检出限要求?又如何在质检环节避免人工转移造成的污染?这是全球半导体企业共同难点,越高的纯度决定了更大的市场占有率。如何借助技术满足EIPA纯度要求?使用Genevac Rocket离心浓缩仪对大体积EIPA进行浓缩,用以放大样品内的杂质信号,搭配SG套装,避免二次转移,直接将浓缩后的微量样品收集到GC进样小瓶中,使得后续进入分析设备可以检测出更准确的数据。英国 Genevac Rocket蒸发系统可多位处理,自动平行的处理大体积的溶剂蒸发。● 一次能蒸发6×450ml 溶剂,最大可处理5L;● 加热速度快,效率高;● 采用Dri-pure技术防爆沸,防止交叉污染;● 能将样品直接定量浓缩到GC小瓶中,蒸发停止后,可以直接将GC瓶取出,样品无需进行二次转移。如果你对上述产品或方案感兴趣,欢迎随时联系德祥科技可拨打热线400-006-9696Genevac英国Genevac是德祥集团资深合作伙伴之一。英国Genevac公司成立于1990年,隶属SP Scientific旗下,一直专注于研究和生产各种离心蒸发浓缩设备,其产品广泛应用于生命科学、制药、化学、分析等领域。德祥科技德祥科技有限公司成立于1992年,总部位于中国香港特别行政区,分别在越南、广州、上海、北京设立分公司。主要服务于大中华区和亚太地区——在亚太地区有27个办事处和销售网点,5个维修中心和2个样机实验室。30多年来,德祥一直深耕于科学仪器行业,主营产品有实验室分析仪器、工业检测仪器及过程控制设备,致力于为新老客户提供更完善的解决方案。公司业务包含仪器代理,维修售后,实验室咨询与规划,CRO冻干工艺开发服务以及自主产品研发、生产、销售、售后。与高校、科研院所、政府机构、检验机构及知名企业保持密切合作,服务客户覆盖制药、医疗、商业实验室、工业、环保、石化、食品饮料和电子等各个行业及领域。2009至2021年间,德祥先后荣获了“最具影响力经销商”、“年度最佳代理商“、”年度最高销售奖“等殊荣。我们始终秉承诚信经营的理念,致力于成为优秀的科学仪器供应商,为此我们从未停止前进的脚步。我们始终相信,每一天都在使这个世界变得更美好!
  • Surpass在半导体行业应用
    近期两个著名的半导体制造商开始使用安东帕的固体表面Zeta电位分析仪来优化生产流程。 这不仅标志着在跌宕起伏的美国半导体市场,今年是安东帕的Surpass获得成功的一年,并且再次证实了固体表面分析仪器在半导体行业的重要性。 Surpass Zeta电位分析仪 Surpass Zeta电位分析仪是现代化的固体表面分析工具,灵敏度高,能够检测表面性质上的最微小变化,从小颗粒到大晶片,不同的测量元件适合不同形状样品测量。可快速更换样品槽,每秒刷新测量数值。并有全自动的测量程序无需手动干预。
  • Surpass在半导体行业应用
    近期两个著名的半导体制造商开始使用安东帕的固体表面Zeta电位分析仪来优化生产流程。   这不仅标志着在跌宕起伏的美国半导体市场,今年是安东帕的Surpass获得成功的一年,并且再次证实了固体表面分析仪器在半导体行业的重要性。 Surpass Zeta电位分析仪   Surpass Zeta电位分析仪是现代化的固体表面分析工具,灵敏度高,能够检测表面性质上的最微小变化,从小颗粒到大晶片,不同的测量元件适合不同形状样品测量。可快速更换样品槽,每秒刷新测量数值。并有全自动的测量程序无需手动干预。
  • AI驱动半导体向上 再议创新合作|第二届半导体第三方分析检测生态圈战略大会召开
    仪器信息网讯 2024年7月25日,第二届半导体第三方分析检测生态圈战略大会在苏州召开。大会由胜科纳米(苏州)股份有限公司主办,以主论坛会议、圆桌会议及专业展览的形式开展高峰对话,会议前夕举行了国际合作论坛和生态圈闭门会议。会议吸引半导体产业链上下游龙头企业负责人等500多名嘉宾出席,覆盖芯片设计、晶圆制造、设备材料、封装测试、消费终端、分析检测实验室等领域400多家国内外企业及科研院所,为半导体产业生态圈企业打开一扇观察行业标准化及差异化发展、技术创新、人才培育的活力之窗。大会现场主论坛会议上,十余位行业大咖带来了前沿的技术分享和创新发展的报告,不仅涵盖了半导体产业生态的创新发展与协同合作,还包括了第三方分析检测服务机构评价体系的构建、实验室智能化系统的应用与发展等。演讲嘉宾:胜科纳米(苏州)股份有限公司 董事长 李晓旻演讲题目:半导体行业周期和周期内的赛道轮回报告伊始,李晓旻回顾了半导体分析实验室过去40年的演变历程。各年代实验室的主要技术从最初的光学显微镜到透射电镜,再到现代失效分析综合系统的变迁,半导体分析实验室的技术发展极大提升了对纳米级芯片的观察能力。在半导体产业链专业化分工浪潮下,Labless模式应运而生。接着,李晓旻从半导体分析实验室的发展历程、半导体行业细分的要求、设备和人才痛点的需求以及分析检测赛道对半导体行业周期的判断等方面,详细阐述其首创Labless商业理念的初衷及对行业现状和未来前景的深刻见解。对于未来半导体行业的发展,李晓旻认为,人工智能将成为推动行业发展的新动力,而半导体行业也将迎来更加广阔的应用前景。此外,也强调了建立严谨的评价体系对于第三方实验室的重要性。他认为,只有通过科学的评价体系,才能确保实验室的服务质量和水平,从而推动整个行业的健康发展。最后,李晓旻表示,胜科纳米将始终关注半导体行业的发展动态和技术创新,与业界同仁共同努力,为推动我国半导体行业的进步和发展贡献力量。演讲嘉宾:中国半导体行业 资深专家 江涛演讲题目:发展新质生产力对中国半导体第三方测试机构的高标准和严要求随着人工智能技术的飞速发展,半导体行业面临着前所未有的挑战和机遇。人工智能大模型的工作特点对半导体行业提出了更高的要求。为了跟上人工智能摩尔定律的步伐,半导体行业需要持续创新,提高技术水平。此外,先进封装技术将成为半导体行业的一个重要发展方向,为半导体市场带来更多的机会和挑战。江涛表示,第三方测试行业在新的发展背景下,需要不断提升技术水平和服务质量,以支撑新质新增生产力的发展趋势。第三方测试实验室应具备智慧驱动能力,能够帮助客户解决问题,降低成本,提高效率。此外,第三方测试机构还需要具备前瞻性,能够提前预测行业发展趋势,为客户提供更有价值的服务。同时,还需要加强行业标准的制定和执行,提高整个行业的水平。在这个过程中,第三方测试机构将成为半导体行业发展的重要助力。演讲嘉宾:日立科学仪器(北京)有限公司 副董事长 佐藤贤一演讲题目:Introduction of Advanced semiconductor failure and process analysis随着半导体行业的不断发展,对故障分析和工艺控制的需求越来越高。佐藤贤一表示,为了满足客户的需求,日立科学仪器公司提供了多种先进的半导体分析设备,如OCD量测、SEM、FIB-SEM、TEM等,这些设备可以帮助客户更准确地找到故障点,提高产品质量。他认为,第三方检测机构需要不断研发新技术,提高测试精度和效率,以满足半导体行业的发展需求。关于与客户的合作模式,佐藤贤一认为,第三方检测机构应与客户建立紧密的合作关系,共同开发新技术,提高生产效率,降低成本。此外,佐藤贤一还提到了半导体行业的未来发展趋势,包括人工智能、物联网等领域的应用。并表示,日立愿意与第三方检测机构加强合作,紧跟行业发展趋势,不断提升自身能力,以共同应对未来的挑战。演讲嘉宾:新加坡工程院士、新加坡科学院士、SUTD professor YEO KIAT SENG演讲题目:Talent-The Challenge to Establish a Globally Competitive Semiconductor Industry报告主要讨论了在建立全球竞争力的半导体产业过程中,人才所面临的挑战和机遇。YEO KIAT SENG指出,半导体市场预计将以8.8%的年复合增长率增长,到2032年市场规模将超过1.3万亿美元。而智能、集成和创新将是推动半导体行业发展的关键因素。建立全球竞争力的半导体产业离不开人才的培养和发展。此外,YEO KIAT SENG强调了多学科教育的重要性,面对复杂的问题,单一学科的教育模式已经不再适用。提倡跨学科的教育模式,让学生在学习过程中接触不同领域的知识,培养创新能力和创造力。最后,YEO KIAT SENG谈到了未来工作的变化。并认为,自动化和智能机器人将取代许多传统工作,而信息和数据将成为新的货币。个人需要不断提升自己的技能,以适应未来的挑战。演讲嘉宾:青岛四方思锐智能技术有限公司 副总经理 谢均宇演讲题目:集成电路装备研发与第三方测试协同发展谢均宇表示,集成电路装备研发与第三方测试之间存在密切的协同关系。在研发过程中,测试可以帮助企业更好地了解设备的性能、结构和成分,从而提高设备的稳定性和可靠性。同时,测试还可以为企业提供有关工艺改进和创新的重要依据。通过深度合作和资源共享,双方可以实现优势互补,共同推动技术创新和产业升级。此外,谢均宇提到了公司在集成电路装备研发方面的一些突破,如公司已成功交付国内第一台高能离子注入机,并已实现批量销售。也分享了ALD设备应用、IMP工艺材料的表征需求等。演讲嘉宾:蔡司 XRM亚太应用技术专家 曹春杰演讲题目:三维无损分析在半导体领域的最新应用进展曹春杰首先介绍了三维无损分析技术在半导体领域、尤其是在结构和拓展方面的重要性。 接着,详细阐述了三维无损分析技术的原理、该技术在消费电子、封装测试等领域的应用,以及该技术的优势和特点。案例分享环节,曹春杰展示了三维无损分析技术在半导体领域的实际应用效果。提到了该技术在分析电子管道、IC结构等方面的应用,以及在故障分析和可靠性分析中的作用。最后,曹春杰介绍了蔡司公司的最新技术和产品,如630 Versa系列,以及AI技术在三维无损分析中的应用等。这些新技术也将进一步提高三维无损分析技术的性能和效率。演讲嘉宾:赛默飞世尔公司 高级业务拓展经理 曹潇潇演讲题目:标准化-加速半导体三方检测市场发展的新引擎曹潇潇在报告中强调了标准化在半导体产业中的重要性。认为标准化可以帮助企业在高速发展阶段建立共同的起跑线,提高研发效率和创新能力。此外,标准化还可以提升整个产业链的培训资源和降低错误率,确保产业链上下游站在同一水平上进行对话。接着分享了关于标准化在半导体时效分析中的具体应用。曹潇潇提到,赛默飞世尔公司在时效失效分析领域占据90%的市场份额,并提供了一套系统化的整体解决方案,希望将标准化工作进一步拓展到前端市场关系的过程中。同时也通过一些具体案例展示了标准化工作的实现。例如,在失效分析过程中,通过开发系列功能,可以实现跨平台之间的样品定位和数据的综合管理。此外,还可以实现工厂管理系统的无缝对接,提高自动化水平等。演讲嘉宾:胜科纳米(苏州)股份有限公司 前沿技术总监 乔明胜演讲题目:Labless助力半导体第三方分析检测服务机构评价体系的构建乔明胜首先介绍了半导体分析检测行业的概况,指出全球半导体第三方检测分析市场的年增长率超过10%,国内增长率更是接近20%。接着分析了国际国内实验室认证的现状。并强调,实验室认证本质上是管理体系的评价,而非技术水平的高低评价。乔明胜认为,Labless模式可以帮助企业在满足基本要求的同时,为客户提供更多的价值。并建议第三方检测机构应具备规模、技术先进性、设备能力和质量安全等方面的基本能力。他指出,目前半导体分析检测领域缺乏专门的标准体系,需要进一步完善。提出了构建半导体第三方分析检测服务机构评价体系的建议。而胜科纳米也正在完善自己的技术标准体系,以期为行业做出更多贡献。演讲嘉宾:天津三英精密仪器股份公司 董事长 须颖演讲题目:高分辨X射线三维成像技术与应用目前,通用显微成像技术如光学显微镜、扫描电镜等在分辨率上已达到一定水平,但在观察内部结构方面仍有局限。而X射线三维成像技术可以在不破坏样品的情况下,实现对样品内部结构的三维立体成像。须颖详细介绍了高分辨X射线三维成像技术的原理和特点,以及天津三英在该技术方面的工作成果。公司坚持高层面技术路线,使得公司在高分辨X射线三维成像领域具有竞争优势。须颖认为,随着工业应用的深入,客户对缺陷检测、内部结构测量等方面的需求越来越高,高分辨X射线三维成像技术在这些领域具有广泛的应用前景。天津三英也将不断完善产品线,开发针对不同类型样品的专用设备,以满足客户的需求。也坚信高分辨X射线三维成像技术将为工业检测、设计等领域带来更多的便利和价值。演讲嘉宾:IBM 科技事业部存储产品总监 周立暘演讲题目:IBM 存储,助力企业实现降本、增效、安全的数字化周立暘首先提到了数字化转型和国家半导体行业的发展,强调了数据作为新的生产要素在企业中的重要性。他表示,IBM存储产品可以帮助企业更好地管理和利用数据,实现降本增效。IBM的核心技术已经在国内半导体制造企业的MS系统中得到广泛应用,帮助企业更好地利用资产投入产生效益。在数据安全方面,介绍了IBM的High-Availability Data Replication技术,可以在短时间内恢复被勒索软件攻击篡改的数据。最后,周立暘表示,IBM希望通过存储技术和资源调度技术的结合,帮助企业在全球化国际化的环境中实现数据的优化管理和应用加速,从而助力企业实现更高的发展。演讲嘉宾:麦格昆磁 副总裁 Klaus Dittmer演讲题目:Advanced magnetic powder development and collaboration with third party analytical service providersKlaus Dittmer首先介绍了麦格昆磁公司,包括在稀土和其他关键金属领域的业务等。接着讨论了磁性粉末在永磁材料领域的重要性,并强调了磁性粉末微观结构表征的重要意义。关于如何通过精确的测量和控制来实现所需的磁性能,Klaus Dittmer介绍了扫描电镜、透射电镜、X射线衍射等几种用于表征磁性粉末微观结构的技术。在谈到与第三方分析服务提供商的合作时,Klaus Dittmer强调了成本、时间、质量和保密性等因素在选择合作伙伴时的重要性。他认为,与第三方服务提供商合作可以为公司提供更高效、高质量的分析和表征服务,同时降低成本和提高保密性。最后,Klaus Dittmer总结了麦格昆磁在磁性粉末开发与第三方分析服务合作方面的经验,强调了这种合作为公司带来的价值。演讲嘉宾:滨松光子学商贸(中国)有限公司 半导体领域负责人 王宁波演讲题目:半导体电性失效分析介绍失效分析有助于改进设计和工艺,提升产品性能。王宁波分享了失效分析的技术原理、常用的定位方法,以及在半导体制造和使用过程中的应用,如改善工艺、完善品质和提升芯片能力。最后,王宁波介绍了滨松光子公司在半导体电性失效分析领域的技术发展。包括公司在光电探测方面的专长、公司在半导体检测领域的一些新技术,如磁光电流成像、高分辨热成像和全自动探测系统等。这些技术有助于提高失效分析的精度和效率,满足半导体制造和设计工业的发展需求。圆桌会议为了进一步交流探讨,以“半导体第三方分析检测服务机构评价要素”为议题的圆桌会议压轴亮相。圆桌嘉宾从实验室基本能力、实验室服务效果以及实验室可持续发展三个维度展开深入探讨。在实验室基本能力方面,嘉宾们细致讨论了实验室建设的规模与布局、硬件设施的先进性与完备性,以及管理体系的健全程度。这些因素被普遍认为是实验室提供高质量服务的基础。实验室服务效果的议题中,服务流程的专业性、检测结果的准确性、响应时间的迅速性以及客户服务的周到性等关键指标备受瞩目。此外,信息安全也被特别提及,作为评价服务效果时不可忽视的一个维度。在实验室可持续发展方面,技术创新能力被视为推动实验室长期发展的核心动力。同时,人才培养、市场拓展策略以及行业合作与交流也被认为是实验室持续发展的重要支撑。圆桌讨论内容覆盖了半导体第三方分析检测服务机构评价的多个关键要素,旨在推动行业向更专业、更高效、更可持续的方向发展。这不仅是对当前行业现状的一次全面审视,更是对未来发展方向的一次前瞻性思考。同期展会掠影
  • 企业成半导体刻蚀设备采购主力——半导体仪器设备中标市场盘点系列之刻蚀设备篇
    刻蚀技术,是在半导体工艺,按照掩模图形或设计要求对半导体衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离的技术。刻蚀技术不仅是半导体器件和集成电路的基本制造工艺,而且还应用于薄膜电路、印刷电路和其他微细图形的加工。刻蚀还可分为湿法刻蚀和干法刻蚀,相对应的设备分别为干法刻蚀设备和湿法刻蚀设备,其中干法刻蚀设备绝大部分为等离子体刻蚀。仪器信息网近期特对一年内的刻蚀设备的中标讯息整理分析,供广大仪器用户参考。(注:本文搜集信息全部来源于网络公开招投标平台,不完全统计分析仅供读者参考。)各月中标量占比2019年10月至2020年9月,根据统计数据,刻蚀设备的总中标数量为208台,涉及金额上亿元。2019年10月至2019年12月,平均中标量约22台每月。2020年3月份,刻蚀设备采购量降至低谷,1-3月份平均采购量只有11台,3月份只有6台,这可能是受到了疫情的影响。值得注意的是,这些刻蚀设备的采购主要来源于半导体代工企业大量集中的产线建设采购,这也造成了周期性的采购波动。主要的采购单位包括了上海华力集成电路制造有限公司、华虹半导体(无锡)有限公司、上海华虹宏力半导体制造有限公司等集成电路代工企业,与此同时一些3月份以前招标的设备由于疫情也推迟到3月份之后公布中标。招标单位地区分布本次盘点,招标单位地区分布共涉及19个省份、自治区及直辖市。上海、北京、浙江、江苏和广东为刻蚀设备采购排名前5的地区,其中上海的中标量最多,达49台。在这些地区中,上海、浙江和江苏以企业采购为主,这主要由于这些地区是我国集成电路产业发达地区;北京和广东以高校和科研院所采购为主,主要用于科研领域。采购单位性质分布从刻蚀设备的招标采购单位来看,企业是采购的主力军,采购量占比高达59%,高校和科研院所的采购量分别占比20%和21%。值得注意的是,Lam Research International Sarl的设备更受企业青睐,中标数量高达35台,远超其他设备商。不同类型刻蚀设备占比刻蚀设备大致包括了干法刻蚀和湿法刻蚀两类,根据搜集到的中标数据可知,干法刻蚀设备在半导体刻蚀设备中占据主流、占比高达95%。硅干法刻蚀即等离子体刻蚀技术,相对于湿法刻蚀,具有更好的各向异性,工艺重复性,且能降低晶圆污染几率,因此成为了亚微米下制备半导体器件最主要的刻蚀方法。随着亚微米下制备半导体器件需求的增加,硅干法刻蚀技术也显得越来越重要。【参考文献:王晓东:干法刻蚀引领半导体微纳加工】本次光刻设备中标盘点,涉及品牌有SPTS、SCREEN.、AMAT、Oxford、北方华创、Lam Research、WONIK IPS、Tokyo Electron Limited、中微半导体、卡尔蔡司等。其中,各品牌比较受欢迎的产品型号有:牛津仪器PlasmaPro 100 Polaris单晶圆刻蚀系统PlasmaPro 100 Polaris单晶圆刻蚀系统为得到更为精湛的刻蚀效果提供了智能解决方案,在行业中能保持竞争优势。同时,这款仪器具有高效的刻蚀速率、低购置成本、专为腐蚀性的化学成分而设计、出色的刻蚀均匀性、适用于蓝宝石的静电压盘技术、蓝宝石和硅上的GaN、高导通抽气系统、可与其它PlasmaPro系统集成等优点。SPTS深硅刻蚀设备SPTS作为世界顶尖的深硅刻蚀和牺牲层刻蚀设备的供应商,SPTS能够提供一系列的解决方案来满足客户的生产和开发要求。通过一系列的技术的开发,SPTS能为客户提供一系列的先进的工艺,比如功率MOSFET和200mm和300mm晶圆上的高端封装(3D封装和芯片级封装)。这款深硅刻蚀设备的主要应用包括: MEMS,先进封装(TSV),功率器件等等。等离子刻蚀机经济型等离子刻蚀设备EtchLab 200具备 低成本效益高的特点,并且支持揭盖直接 放置样片。EtchLab 200允许通过载片器,实现多片工艺样品的快速装载,也可以直接快速地把样品装载在电极上。RIE等离子体刻蚀设备具备占地面积小, 模块化和灵活性等设计特点。点击此处进入【等离子体/化学刻蚀设备】专场,获取更多产品信息。更多市场信息,查看专题【半导体材料、器件与设备_专题报道】更多资讯请扫描下方二维码,关注【材料说】
  • 分析仪器助力半导体腾飞——“半导体材料及器件研究与应用进展”主题网络研讨会成功举办
    p    span style=" font-family: & quot times new roman& quot " strong 2018年6月12日,“半导体材料及器件研究与应用进展”主题网络研讨会在仪器信息网“网络讲堂”栏目成功举办。本次会议旨在为全国在半导体及器件领域或有意在本领域从事研发、教学、生产的科技人员提供一个学术与技术交流的平台,以促进我国半导体材料及器件领域的科技创新和产业发展。 /strong /span /p p span style=" font-family: & quot times new roman& quot "   半导体材料(semiconductor material)是一类具有半导体性能、可用来制作半导体器件和集成电路的电子材料。近年来半导体材料迅猛发展,特别是宽禁带化合物半导体在材料生长、器件与电路设计、制造工艺及其应用等方面具有最新进展。 /span /p p span style=" font-family: & quot times new roman& quot "   本次会议邀请了来自 span style=" font-family: 宋体, SimSun color: rgb(255, 0, 0) " 华进半导体、赛默飞、雷尼绍、HORIBA、牛津、华东师范大学 /span 六家机构从事半导体研究及应用的专家学者,对目前科学仪器在半导体应用领域的研究进展进行了介绍了。各项报告内容简介如下: /span /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201806/insimg/9f4a0912-662e-44eb-a670-f31943137df6.jpg" title=" 先进封装工艺与可靠性-刘海洋.jpg" width=" 400" height=" 225" border=" 0" hspace=" 0" vspace=" 0" style=" width: 400px height: 225px " / /p p span style=" font-family: & quot times new roman& quot "    span style=" font-family: 宋体, SimSun color: rgb(31, 73, 125) " i 华进半导体研发部高级工程师刘海燕介绍了数种半导体材料与部件的封装工艺及其各自特点,着重讲解了目前处于前沿领域的扇出型封装工艺,代表的类型有eWLB、INFO POP、大板级。华进半导体目前正在开发晶圆级、大板级扇出封装技术,现已制备出部分样品,并申请了相关专利。此外还补充介绍了Low k芯片封装工艺。华进公司的主要业务包括设计仿真、封装工艺、测试验证、技术转移等领域。 /i /span /span /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201806/insimg/460a9fdb-85d5-4566-a742-3dbbc89e87dd.jpg" title=" ICP-MS在半导体行业原材料及高纯化学品分析中的应用-朱中正.jpg" width=" 400" height=" 225" border=" 0" hspace=" 0" vspace=" 0" style=" width: 400px height: 225px " / /p p span style=" font-family: & quot times new roman& quot "   span style=" font-family: 宋体, SimSun color: rgb(31, 73, 125) " i  赛默飞的应用工程师朱中正介绍了ICP MS(电感耦合等离子体质谱仪)在半导体行业的应用和最新进展。半导体行业中,对痕量金属元素进行常规且准确的分析是十分重要的工作。随着半导体器件尺寸的不断缩小,杂质的存在对其性能的影响逐渐增加。报告重点介绍了赛默飞公司的四级杆ICP-MS和SQ-ICP-MS的结构、工作机理、主要优势以及局限性。 /i /span /span /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201806/insimg/776571c0-f293-46a7-b115-c43a17856c0f.jpg" title=" 雷尼绍拉曼光谱技术在半导体领域的一些应用-王志芳.jpg" width=" 400" height=" 225" border=" 0" hspace=" 0" vspace=" 0" style=" width: 400px height: 225px " / /p p span style=" font-family: & quot times new roman& quot "    i span style=" font-family: 宋体, SimSun color: rgb(31, 73, 125) " 雷尼绍的高级应用工程师王志芳介绍了雷尼绍公司的拉曼光谱在半导体领域的一些应用工作。她首先为观众进行了拉曼光谱基础知识的讲解,拉曼光谱具有无损无创、原位检测、快速简便的使用特点,可应用于材料科学、生命科学、分析科学等多个领域。在半导体领域,拉曼光谱可对SiC、GaN、MoS sub 2 /sub 等半导体材料进行性能表征,可检测的性能特征有:晶型分布鉴定、应力表征缺陷分析、鉴定和发现污染物、电子迁移率分布、块材生长过程等。 /span /i /span /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201806/insimg/d979950b-1148-45f7-8de4-41a3357cc646.jpg" title=" 光谱分析在半导体材料领域的应用-孙正飞.jpg" width=" 400" height=" 225" border=" 0" hspace=" 0" vspace=" 0" style=" width: 400px height: 225px " / /p p span style=" font-family: & quot times new roman& quot "   i span style=" font-family: 宋体, SimSun color: rgb(31, 73, 125) "  HORIBA公司仪器事业部的应用工程师孙正飞分享了光谱分析技术在半导体材料领域的应用,主要应用的分析手段有光致发光光谱、拉曼光谱、辉光放电GD、椭偏仪TF,并着重介绍了前两者的工作机理和应用方向。光致发光光谱可测定半导体材料的组分、识别其中的掺杂元素、测试材料/器件的发光效率、研究位错缺陷 拉曼光谱可分析半导体化学组成、结构、构象、形态、浓度、应力、温度、结晶度等特征。 /span /i /span /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201806/insimg/563d8427-33a1-40e8-ab1e-751277616320.jpg" title=" 能谱及EBSD在半导体行业中的应用-马岚.pptx.jpg" width=" 400" height=" 225" border=" 0" hspace=" 0" vspace=" 0" style=" width: 400px height: 225px " / /p p span style=" font-family: & quot times new roman& quot "    span style=" font-family: 宋体, SimSun color: rgb(31, 73, 125) " i 牛津仪器纳米分析部的应用科学家马岚介绍了EDS能谱和EBSD(电子背散射衍射Electron Backscattered Diffraction)在半导体行业的应用。SEM-EDS可对样品进行成分检测、定性分析。针对扫描电镜及有窗能谱测试结果不准确的问题,提出了建议解决办法,通过对三种不同样品图像结果的分析,得出适当降低工作电压可提高电镜和能谱的空间分辨率。鉴于有窗能谱对10nm以下尺度空间分辨率的局限性,有窗能谱Extreme应运而生,在低电压下具有优良的表现。EBSD目前在半导体相关行业的应用还处于起步阶段,但由于其技术优势,会越来越多的应用在半导体的研发当中。可用于观测样品中晶粒的取向。 /i /span /span /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201806/insimg/b562f762-930a-494d-bec6-5ffda980fba0.jpg" title=" 相变存储器及存储材料-成岩.jpg" width=" 400" height=" 225" border=" 0" hspace=" 0" vspace=" 0" style=" width: 400px height: 225px " / /p p span style=" font-family: & quot times new roman& quot "    i span style=" font-family: 宋体, SimSun color: rgb(31, 73, 125) " 华东师范大学电镜中心的成岩老师向观众分享了半导体存储领域的新秀—相变存储器,介绍了其发展、结构、原理、材料等研究内容。DRAM和Flash占据了存储器市场95%以上的份额,旧的存储器存在一定的性能缺陷以及存储速度和存储性能之间的矛盾,开发新型存储架构势在必行。IBM开发的SCM(Storage Class Memory)使用高速、非易失性、字节可访问、存储密度高的新型存储级内存介质构建外部大容量存储器,为计算机系统延续了数十年的内外存架构提供了新的选择,应用相变存储技术的PCRAM将高速、随机访问和非易失在同一存储介质上实现。透射电子显微镜可应用于对相变存储材料Ge sub 2 /sub Sb sub 2 /sub Te sub 5 /sub 的结构进行观测,发现其具有两级相变过程,可由非晶转变为面心立方结构,再转变为六方相结构。 /span /i /span /p p style=" text-align: center " img src=" http://img1.17img.cn/17img/images/201806/insimg/0881776f-607b-4fdd-8408-b0ca4a572b4e.jpg" title=" 赞助厂商.png" / /p p style=" text-align: center " strong span style=" font-family: & quot times new roman& quot " 赞助厂商 /span /strong /p p span style=" font-family: & quot times new roman& quot "   每场报告结束后,观众对报告内容踊跃提问和发言,老师也对观众们提出的部分问题进行了答疑,会议为关注和研究半导体材料应用的工作者们提供了一个交流和学习的良好平台。 /span /p
  • 闲聊半导体行业和芯片人的”黑话“(中)
    上篇聊的偏粗线条,这篇会聊一些更细节的半导体“黑话”,还有就是这些半导体独立王国的“语言”和我们“正常人”说的话到底有什么关联,毕竟半导体行业不是凭空出世的,芯片人更不是“空降兵”,这个细分领域的技术和应用是有其根基和土壤的; “正常”电镜人里做冷场发射电镜CFESEM的,特别是做氦离子显微镜HIM的,都熟悉“Anneal”这个术语,指的是通过瞬间加热灯尖驱逐吸附的气体分子,增强钨单晶的活性以便于灯尖切削,俗称“重生”;半导体对“Anneal”的”黑话“称呼差别比较大叫回火,又称退火,也是对wafer进行热处理的一种手段;更广义地讲,集成电路IC工艺中所有的在氮气等不活泼气氛中进行的热处理的过程,都可以称为退火;”退火“与“重生”异曲同工,目的一是激活杂质,使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载流子,起到掺入杂质Doping的作用;二是消除损伤,离子植入Ion Implatation后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁区;由于进入底材Substrate中的离子行进中将硅原子撞离原来的晶格位置,而导致的晶体的特性改变就叫损毁;而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、时间差异来控制全部或局部的活化植入离子的功能。氧化制程中也有回火工序,主要是为了降低SiO2的晶格结构。退火方式有传统的炉Furnace退火,还有更先进的快速退火:包括脉冲激光法、连续波激光、非相干宽带频光源;如卤光灯、电弧灯、石墨加热器、红外设备等;“Furnace”在透射电镜TEM样品加热杆Heating holder上很常见,就是“坩埚”。 这里提到的离子植入Ion Implantation对熟悉FIB的“正常人“并不陌生,传统聚焦离子束利用Ga+离子束进行切割Milling、截面Sectioning、或是在气体注入系统GIS的配合下做刻蚀Etching,离子束在不同的气体配合下,可以做到选择性刻蚀Selective Etching,或增强型刻蚀Enhanced Etching;在FIB中,掺杂物Dopant对样品的掺杂Doping,我们是不希望看到的,注入到样品里的Ga+会被视为对样品的污染Contamination;而晶圆制造中的离子植入,是在原本本征的半导体里主动的植入或通过扩散的方法将其它的原子或离子掺杂进去,达到改变其电性能的方法,这里,我们是希望看到样品wafer性能发生改变的; 甚至,当一般植入的离子分布达不到要求时,还会加码,通过进炉管Furnace加高温的方式将离子进行扩散Diffusion,以达到我们对离子分布的要求,同时对离子植入造成的缺陷进行修复;这里涉及到的设备叫扩散炉Diffusion furnace;此工序就是在扩散炉管内通以饱和的杂质蒸汽,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入扩散,快速完成固态扩散需要约数亿年才能完成的任务;Diffusion虽然能较精确地选择杂质Dopant的数量,但受限于离子能量,无法将杂质驱入芯片更深(um级别)的区域了;因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除;此方法就称为驱入Drive-In;“Drive-in“在这里就不是那种能直接开车进去看电影的露天电影院了;”Drive-In”工艺中,不再加入半导体杂质总量,只将表面的杂质往半导体内更深入的推进;在驱入时,常通入一些氧气﹒因为硅氧化时,会产生一些缺陷,如空洞Vacancy;这些缺陷会有助于杂质原子的扩散速度;电镜中用到的超高真空泵-离子泵Ion Getter Pump,有和上面说的半导体的“Drive-in”手段类似,通过将杂质气体分子驱入离子泵的钛合金板,逐步达到提升真空的功效;顺便一句,半导体制程中用到的”特种气体“,”黑话“叫”特气“。 还记得”正常“电镜上熟悉的用来做表层污染物去除的Plasma cleaner等离子清洗吗?电子枪和电子光学镜筒装配前会用来轰击清洁样品表面,避免超高真空下的发气outgassing,俗称”轰击台“;晶圆制程中的这类设备就叫Arc Chamber弧光反应室,和“轰击台”原理一样,事实上设备就是利用一个直流式的电浆发生器,操作电流产生的弧光电浆来清洁抛光晶圆表面;这里的电浆就是又一句半导体“黑话”,正常人一般叫它Plasma,或等离子体;电浆Plasma是人类近代物化史上重大的发现之一,指的是一个遭受部分离子化的气体,气体里面的组成有各种带电荷的电子,离子,及不带电的分子和原子团等;电浆发生器的两金属极板上加上直流电压而产生的电浆我们就叫它直流电浆DC Plasma了; 提到电浆,就顺便说下晶圆的镀膜Deposition环节;相比较使用化学蒸气沉积CVD Chemical Vapor Deposition方法的镀膜,使用物理蒸气沉积法PVD的镀膜工艺在高制程中更常被用到;PVD制程中,脱离电浆的带正电荷离子,在暗区的电场的加速下,获得高能量,当离子与阴电极产生轰击之后,基于能量传递的原理,离子轰击除了会产生二次电子以外,还会把电极表面的原子给"打击"出来,称为sputtering溅射;电极板加直流电压称为DC Sputtering直流溅射;阴极导电材料,因为像“靶子“被电浆打击,被称为靶材Target;说到这里,我嘘了一口气;终于,半导体人也不是必须只讲自己人的”黑话“,他们跟”正常人“一样,还是能讲些”人话“的;需要溅射镀膜比较细腻的可以用磁控DC溅镀机:为了使离子在往金属靶表面移动时获得足够的能量,除了提高极板间的电压外,还必须使离子在阴极暗区内所遭受的碰撞次数降低,就必须降低溅渡的压力,越低越好,以增长离子的平均自由行程;这样一来,单位体积内的气体分子数降低,使得电浆里的离子浓度也降低,导致溅渡薄膜的沉积速率变慢,整体镀膜更加细腻;“正常”电镜实验室中,为了增加样品导电性,我们会去给样品镀一层金膜,这里的“镀金仪”就是缩小版的Fab里的PVD设备了;除了“镀金”,我们还经常会去“镀碳”;碳膜比起金膜来,可以增强样品表层导电性,但不会影响到“打能谱”,标定样品的化学元素信息;所以生物样品常用镀金,材料样品更愿意镀碳,就是这个道理; 我们利用Sputtering溅射技术镀金,镀碳的技术则为Evaporation蒸镀;Fab里的采用蒸镀技术的PVD设备,就是将蒸镀源放在坩埚Furnace里加热,当温度升高到接近蒸镀源的熔点附近时,原本处于固态的蒸镀源的蒸发能力将会特别强,利用这些被蒸发出来的原子,就可在附着在离其不远处的芯片Wafer表面上,形成薄膜式的沉积层,这种方法就是蒸镀Evaporation了;随着用以隔离之用的场氧化层FOX,CMOS电晶体,金属层及介电层等构成IC的各个结构在Chip芯片上建立之后,芯片的表面也将随之变得上下凸凹不平,致使后续制程变得更加困难;所以,传统半导体制程就开始执行芯片表面平坦化的技术了;以介电层SiO2的平坦化为例,有高温热流法、各种回蚀技术及旋涂式玻璃法;当 超大规模集成电路 VeryLarge Scale Integration- VLSI的制程推进到0.35um以下后,上面这些技术就不能满足制程需求了,故而也就产生了Chemically Mechanical Polishing化学机械研磨法CMP制程;所谓CMP就是利用在表面布满研磨颗粒的研磨垫Polishing pad,对凸凹不平的晶体表面,藉由化学助剂Reagent的辅助,以化学反应和机械式研磨等双重的加工动作,来进行其表面平坦化的处理;看到这里,您不难看出,这个半导体的CMP不就是我们“正常”实验室人经常操作的电化学抛光Electro-ChemicalPolishing制样流程吗?怎么什么进了半导体应用的门槛就非得改名,开说“黑话“呢?由于半导体芯片行业薪酬普遍高出“正常“行业一头,导致在这个行业里的从业人沾沾自喜;笔者接触过一位有幸掌握了CMP操作技术的年轻人,”下界“来做电镜售后,满脑子都是半导体厂里的条条框框,动辄fingerpointing这个那个流程不够详细规范,无法操作,责任不明等等,俨然就是一位被半导体惯坏Spoiled的巨婴,”下凡“之后连正常思考都不会了;这里例子让我知道了即使在光鲜的半导体行业里,也会培养出只知规程,而实际却”四体不勤,五谷不分“的庸才。通篇看到这里,要是您要还没说“服了You”的话,那下面我们再接着多看多学一堆半导体的”黑话“吧;一片大硅片、OR晶圆,即Wafer上有许多相同的方形小单位,这些小单位叫Die,大家在这里肯定都理解这不是那个常用动词了吧?不过学材料的同学,你们知道它“黑话“叫“晶粒“吗?这回不淡定了吧;同一片上的每个Die晶粒都是相同的构造,具有相同的功能,每个晶粒经切割封装后,就制成了一颗颗我们日常生活中常用的IC芯片了,所以每一个大晶圆片所能造出的小IC数量是很可观的;同样地,如果因制造的疏忽而产生的缺陷,往住波及到同一批次Lot里成百成千个产品;说到这里,各位看官也明白为什么晶圆厂都喜欢300mm,就是12英寸的大硅片了吧;没错,一次出货出的多,省耗材省时间,人人喜大普奔;这里再来个插科打诨,Die的复数这里“黑话“叫Dice;没错,就是我们耍的”骰子“一个词;让我们期盼这来之不易的晶圆片不会轻易地godie,好不容易划片成功的裸Die不会变态地被当骰子耍吧;Fab里最贵的三类设备,也就是晶圆制造前道工艺中的三大设备了;除了上面提到的各类“D”类Deposition沉积镀膜设备,其余两大设备就是听得耳朵都长茧子的的光刻机Photolithography“L“类设备,和光刻后的刻蚀机Etching”E“类设备了;目前海外Fab使用最广泛的光刻机就是DUV深紫外光刻Deep-UV lithography,和ASML动辄卖上亿美元一台的EUV极紫外光刻机Extreme-UV lithography了;不知不觉中,ASML和EUV似乎成了光刻机的代名词;事实上,能够在硅基上“刻”出图案的技术有多种:X射线光刻 X-ray lithography、电子束光刻 Electron beamlithography EBL、氦离子束光刻 Helium ion beam lithography、等离子体飞秒激光光刻Femtosecond Plasma Lithography FPL、纳米压痕刻Nano Imprint Lithography NIL;这么多种类的光刻技术,光刻机的供应商自然不会只有ASML一家,ASML倒确实是唯一一家既可以做EUV光刻,也能做EBL光刻的光刻机“大户”;ASML是在收购了用13260只电子束EBL跟它PK光刻老大败北的Mapper后,同时获得这项技术的;上面有提到可以说是半导体芯片行业使用频率可以排名前三的“黑话”,叫Fabless,业务里不做Fab的就叫Fabless;我们现在聊到了光刻,曝光时需要拿一片事先印好图形玻璃模板叫Mask或Reticle,放在光源与物镜之间的这一类叫做光罩Mask光刻,DUV、EUV光刻就是这类了;同理,曝光过程中不用模板辅助显影,而是光源直接接触硅片的技术就叫无光罩Maskless光刻了,带电粒子束光刻,也就是电子束、离子束一类光刻就属于这类了;“黑话”的特性是除了“道上”的同行,别人都听不懂的;这里的Maskless就又是一个“活生生”半导体的“黑话“的例子;既然提到“曝光“exposure,我们就顺便聊下半导体”盗用“摄影师的这条行话吧;早期照相机底片的感光原理在集成电路的制造过程中也适用;借助”曝光“,可以将光罩,又叫光掩模,也就是Mask上的事先定义的图形Pattern,转移到晶圆片上,借助大数值孔径Numerical Aperture NA的物镜的帮助,形成了更为精细的光阻图形;所以如果”光刻“是晶圆制造中最关键的一步的话,”曝光“便是”光刻“中那个最重要的步骤;既然重要,这里我们就多聊两句”黑话“:以使用最广的5XStepper为例,其方式就是把对紫外线UV敏感的光阻膜PR当作照相机的底片,光罩上是芯片架构师用EDA设计的各种图形,以特殊波长的光线,比如G-Line 436nm照射穿过光罩,经过物镜,又叫缩小镜或Reduction Lens后,光罩上的图形则呈5倍缩小,精确地定义在”底片“,也就是芯片上的光阻膜,又叫光刻胶或Photoresist,再经过显影Development后,即可将照到光线的正光阻膜显掉,从而就得到了我们心心念念地想要的各种精细图形,准备好为下一步的刻蚀或离子植入制程使用;因为光阻膜对于某特定波长的光线特别敏感,所以Fab厂都有黄光区,将一切照明用光源统一过滤成昏黄色,以避免泛白光源中含有对光阻有感光能力的波长成份存在,否则会发生光线污染,扰乱精细的光阻成形。就像胶片时代的照相底片一样,光刻胶 photoresist,又称光阻剂,也有正负之分;半导体的负片叫负性光刻胶negative photoresist,正片叫正性光刻胶 positive photoresist,较高制程的Fab多会用到正胶,显影时正胶会被显没,留下底下需要被刻蚀掉的硅片区域;“光刻胶“叫起来比”光阻剂“更加顺口,也算是一句标准的下凡“黑话”吧;这个关于光刻胶的“黑话”群还包括:无机光刻胶 inorganic resist、多层光刻胶 multilevel resist、电子束光刻胶 electron beam resist、X射线光刻胶 X-ray resist等分类;还有甩胶 spinning、涂胶 coating、烘胶 baking、去胶stripping、氧化去胶 removing by oxidation、等离子体去胶 removing of by plasma,等等。曝光工艺里还时不时地会听到还分接触式曝光法contact exposure、接近式曝光法 proximity exposure、还有投影式曝光法 projection exposure的说法,这是不是让刚刚觉得自己懂了点晶圆制造的半导体小白,只瞅了一眼半导体的世界,一下子又跌回了“深渊“呢?半导体“黑话“这次就先聊止于此,下篇是Save the best to the last,最精彩的那一集大结局;敬请期待。
  • 来自半导体实验室专家的报告回顾
    随着摩尔定律的发展逼近极限,3D封装技术对于半导体器件性能的提高越来越重要。3D封装器件失效分析面临的挑战是如何暴露出深埋的内部连接、倒装芯片和焊点等结构。TESCAN将高通量 i-FIB+TMXe等离子FIB镜筒与 TriglavTMUHR 电子镜筒配对,以扩展 FIB 在物理失效分析的极限,实现了超大宽度和深度横截面加工的技术突破。Xe 等离子FIB充分满足了3D封装物理失效分析的无机械应力,定点加工和快速制备大尺寸截面等要求。TESCAN失效分析实验室的专家前不久进行了一场在线报告直播,介绍了氙离子FIB在半导体领域如何助力先进封装、微机电器件和光电集成产品的分析检测工作。通过观看本次《更高效的工具来增加半导体失效分析任务中的通量和灵活性》报告,您将了解氙离子FIB在半导体行业以下几方面的应用介绍:无Ga 污染TEM样品制备高质量逐层剥离实时监控6/8/12寸不破片晶圆导航观测在大体积深度截面上更高效的无窗帘效应加工精彩看点更高效的无窗帘效应加工这是一个锂电材料样品使用等离子FIB大束流进行加工后因为没有用摇摆方式在左图能看到明显窗帘效应影响。当我们用±5°摇摆样品台加工后发现窗帘效应被有效地消除,获得非常平整干净的截面。 半导体领域,TESCAN一直在创新 TESCAN 是全球首家将等离子 FIB 集成到扫描电子显微镜(SEM)中的制造商,早在2011年就推出了FERA,并于2019年底推出了新一代的 AMBER X 和 SOLARIS X。其中 AMBER X 将可用于样品精细加工的氙等离子体 FIB 和无漏磁的超高分辨成像的 SEM完美地结合,应用于各类材料的显微结构表征,尤其是讲究效率的半导体领域。大家都在用的:高效聚焦离子束扫描电镜( * 只展示部分可公开客户logo)更快速的反切
  • 中国半导体十大研究进展候选推荐(2022-015)——超高热导率半导体-砷化硼的载流子扩散动力学研究
    以下文章来源于国家纳米科学中心 ,作者刘新风课题组1 工作简介——超高热导率半导体-砷化硼的载流子扩散动力学研究国家纳米科学中心刘新风研究员团队联合休斯顿大学包吉明团队和任志锋团队在超高热导率半导体-立方砷化硼(c-BAs)单晶的载流子扩散动力学研究方面取得重要进展,为其在集成电路领域的应用提供重要基础数据指导和帮助。相关研究成果发表在Science杂志上。随着芯片集成规模的进一步增大,热量管理成为制约芯片性能越来越重要的因素。受散热问题的困扰,人们不得不牺牲处理器的运算速度。从2004年后,CPU的主频便止步在了4 GHz,只能通过增加核数来进一步提高整体的运算速度,然而这一策略对于单线程的算法却是无效的。2018年,具有超高热导率的半导体c-BAs的成功制备引起了人们极大兴趣,其样品实测最高室温热导率超过1000 Wm-1K-1,约为Si的十倍。c-BAs不仅具有高的热导率,由于其超弱的电声耦合系数和带间散射,理论预测c-BAs还同时具有非常高的电子迁移率(1400 cm2V-1s-1)和空穴迁移率(2110 cm2V-1s-1),这在半导体材料系统中是非常罕见的,有望将其应用在集成电路领域来缓解散热的困难并且能够实现更高的运算速度,因而通过实验来确认这种高热导率的半导体材料的载流子迁移率具有非常重要的意义。虽然c-BAs被制备出来,但样品中广泛分布着不均匀的杂质与缺陷,为其迁移率的测量带来极大的困难。一般可以通过霍尔效应,测定样品的载流子的迁移率,然而电极的大小制约着其空间分辨能力,并直接影响到测试的结果。2021年,利用霍尔效应测试的c-BAs单晶的迁移率报道结果仅为22 cm2V-1s-1,与理论预测结果相差甚远。具有更高的空间分辨能力的原位表征方法是确认c-BAs本征迁移率的关键。通过大量的样品反复比较,研究团队确定了综合应用XRD、拉曼和带边荧光信号来判断样品纯度的方法,并挑选出了具有锐利XRD衍射(0.02度)窄拉曼线宽(0.6波数),接近0的拉曼本底,极微弱带边发光的高纯样品。进一步,研究团队自主搭建了超快载流子扩散显微成像系统。通过聚焦的泵浦光激发,广场的探测光探测,实时观测载流子的分布情况并追踪其传输过程,探测灵敏度达到了10-5量级, 空间分辨能力达23 nm。利用该测量系统,详细比较了具有不同杂质浓度的c-BAs的载流子扩散速度,首次在高纯样品区域检测到其双极性迁移率约 1550 cm2V-1s-1, 这一测量结果与理论预测值(1680 cm2V-1s-1)非常接近。通过高能量(3.1 eV,400 nm)光子激发,研究团队还发现了长达20ps的热载流子扩散过程,其迁移率大于3000 cm2V-1s-1。立方砷化硼高的载流子和热载流子迁移速率,以及其超高的热导率,表明其可以广泛应用在光电器件、电子元件中。该研究工作厘清了理论和实验之间存在的巨大差异的具体原因,为该材料的应用指明了方向。图1. 瞬态反射显微成像和在c-BAs中的载流子扩散。(A)实验装置示意图,激发波长为600 nm探测波长为800 nm (B)不同时刻的瞬态反射显微成像(标尺1微米) (C)典型的载流子动力学 (D)0.5 ps的二维高斯拟合 (E)不同时刻的载流子分布方差随时间的演化及载流子迁移率,误差标尺代表95%置信拟合区间。国家纳米科学中心副研究员岳帅为文章第一作者,刘新风研究员为通讯作者。文章的共同第一作者为休斯顿大学田非博士(现中山大学教授),共同通讯作者为休斯顿大学包吉明教授和任志锋教授。该研究工作得到了中国科学院战略性先导科技专项(B类)、国家自然科学基金委项目、万人计划青年拔尖人才计划、科技部重点研发计划、科学院仪器研制项目等项目的大力支持。2作者简介通讯作者刘新风,国家纳米科学中心研究员,博士生导师。2004年获东北师范大学学士学位。2007年获东北师范大学硕士学位。2011年获中科院大学博士学位。2015年中科院海外人才计划加入国家纳米科学中心。2021年获中组部人才计划支持。目前担任中国科学院纳米标准与检测重点实验室副主任。研究方向为半导体材料微纳尺度光与物质相互作用光谱和物性研究。近年来在Science, Nat. Mater., Adv. Mater., Nano Lett.等期刊上发表论文210余篇,总引用15000余次,H因子61。担任Nat. Nanotech., Sci. Adv., Nano Lett., Adv. Mater. 等国际学术期刊审稿人。任Journal of Physics: Photonics, Nano Materials编委会委员,InfoMat, Materials Today Physics, Materials Today Sustainability, Frontiers of Physics青年编委。通讯作者包吉明,美国休斯顿大学电子与计算机工程系教授,博士生导师。美国物理学会会士,美国光学学会会士。2003年于密歇根大学获得博士学位,导师Roberto Merlin,2003年-2008年在哈佛大学做博士后研究,合作导师为Federico Capasso。2008年加入美国休斯顿大学电子与计算机工程系。主要研究方向为新型纳米材料的制备与纳米光电子学研究。发表文章250余篇,引用量19000,H因子62。通讯作者任志锋,教授,博士生导师。现为美国休斯顿大学物理系M.D. Anderson讲席教授,德克萨斯州超导研究中心主任。1984年在西华大学获得本科学位,1987年在华中科技大学获得硕士学位,1990年在中科院物理所获得博士学位。他的研究集中在具有高ZT值和高功率系数的热电材料、极高热导及载流子迁移率的砷化硼单晶、用于提高石油采收率的纳米材料、电解水产制氢催化剂、用于捕获和消灭SARS-CoV-2冠状病毒的加热过滤器、碳纳米管、太阳能转换材料、柔性透明电子器件和超导材料及其应用等。第一作者岳帅,国家纳米科学中心副研究员。2016年于中科院物理所获理学博士学位,导师翁羽翔研究员。2017年-2020年在电子科技大学-美国休斯顿大学从事博士后研究,合作导师王志明教授和包吉明教授。2020年加入国家纳米科学中心。长期从事超快光谱研究。在Science, PNAS, Nature Materials 等期刊上发表论文20余篇,申请专利5项。第一作者田非,中山大学材料科学与工程学院教授,博士生导师。2012年本科毕业于南开大学物理科学学院,2013年进入美国休斯顿大学物理系攻读博士学位,导师是任志锋教授。2018年获得博士学位后,继续在任志锋教授课题组从事博士后研究。2020年起加入中山大学材料科学与工程学院。长期从事新型散热材料的合成和制备,基本性质的表征和分析,以及相关应用的设计和开发。目前已在国际主流学术期刊发表论文三十余篇。
  • 积塔半导体“用于制造半导体装置的方法以及半导体装置”专利公布
    天眼查显示,上海积塔半导体有限公司“用于制造半导体装置的方法以及半导体装置”专利公布,申请公布日为2024年7月19日,申请公布号为CN118366850A。背景技术与硅(Si)相比,作为第三代半导体材料代表的碳化硅(SiC)具有大禁带宽度、高临界击穿电场、高热导率、高载流子饱和漂移速率和强抗辐照性等更优越的电气特性。凭借SiC的电气特性,能够开发出更适用于高压、高温、高频、强辐射等应用领域的半导体装置,其中,SiC金属氧化物半导体场效应晶体管(Metal Oxide Semiconductor Field-EffectTransistor,MOSFET)更是倍受关注。常用在高压低功耗场景下的SiC MOSFET分为沟槽型SiC MOSFET和平面型SiCMOSFET。垂直结构的平面型SiC MOSFET由于存在结型场效应晶体管(Junction Field-Effect Transistor,JFET)区,使得半导体装置的输出直流电阻较大,限制了半导体装置的功率阈值。此外,平面型SiC MOSFET有着因沟道离子注入导致的沟道迁移率退化问题。相比于传统的平面型SiC MOSFET,沟槽型SiC MOSFET没有JFET区,可以避免寄生JFET效应(例如JFET区产生的额外电阻),能够实现提高的晶圆密度,同时还具有更高的阻断电压、更好的开关特性和更低的导通损耗等改善的电学性能。发明内容本公开涉及用于制造半导体装置的方法以及半导体装置。一种用于制造半导体装置的方法,该方法包括:提供半导体基底,在半导体基底中形成有沟槽;用飞秒激光束照射半导体基底的与沟槽的第一部分邻接的第二部分,使得半导体基底的第二部分发生非热熔化;以及在完成飞秒激光束的照射之后,对半导体基底进行热氧化处理,使得半导体基底的第二部分形成氧化层。
  • 盘点|半导体常用失效分析检测仪器
    失效分析是芯片测试重要环节,无论对于量产样品还是设计环节亦或是客退品,失效分析可以帮助降低成本,缩短周期。常见的半导体失效都有哪些呢?下面为大家整理一下:显微镜分析OM无损检测金相显微镜OM:可用来进行器件外观及失效部位的表面形状,尺寸,结构,缺陷等观察。金相显微镜系统是将传统的光学显微镜与计算机(数码相机)通过光电转换有机的结合在一起,不仅可以在目镜上作显微观察,还能在计算机(数码相机)显示屏幕上观察实时动态图像,电脑型金相显微镜并能将所需要的图片进行编辑、保存和打印。金相显微镜可供研究单位、冶金、机械制造工厂以及高等工业院校进行金属学与热处理、金属物理学、炼钢与铸造过程等金相试验研究之用,实现样品外观、形貌检测 、制备样片的金相显微分析和各种缺陷的查找等功能。体视显微镜OM无损检测体视显微镜,亦称实体显微镜或解剖镜。是一种具有正像立体感的目视仪器,从不同角度观察物体,使双眼引起立体感觉的双目显微镜。对观察体无需加工制作,直接放入镜头下配合照明即可观察,成像是直立的,便于操作和解剖。视场直径大,但观察物要求放大倍率在200倍以下。体视显微镜可用于电子精密部件装配检修,纺织业的品质控制、文物 、邮票的辅助鉴别及各种物质表面观察等领域,实现样品外观、形貌检测 、制备样片的观察分析、封装开帽后的检查分析和晶体管点焊检查等功能。X-Ray无损检测X-Ray是利用阴极射线管产生高能量电子与金属靶撞击,在撞击过程中,因电子突然减速,其损失的动能会以X-Ray形式放出。而对于样品无法以外观方式观测的位置,利用X-Ray穿透不同密度物质后其光强度的变化,产生的对比效果可形成影像,即可显示出待测物的内部结构,进而可在不破坏待测物的情况下观察待测物内部有问题的区域。X-Ray可用于产品研发,样品试制,失效分析,过程监控和大批量产品观测等,实现观测DIP、SOP、QFP、QFN、BGA、Flipchip等不同封装的半导体、电阻、电容等电子元器件以及小型PCB印刷电路板,观测器件内部芯片大小、数量、叠die、绑线情况,芯片crack、点胶不均、断线、搭线、内部气泡等封装缺陷,以及焊锡球冷焊、虚焊等焊接缺陷等功能。C-SAM(超声波扫描显微镜)无损检测超声扫描显微镜是一种利用超声波为传播媒介的无损检测设备。在工作中采用反射或者透射等扫描方式来检查材料内部的晶格结构,杂质颗粒、夹杂物、沉淀物、内部裂纹、分层缺陷、空洞、气泡、空隙等。I/V Curve量测可用于验证及量测半导体电子组件的电性、参数及特性。比如电压-电流。集成电路失效分析流程中,I/V Curve的量测往往是非破坏分析的第二步(外观检查排在第一步),可见Curve量测的重要性。I/V Curve量测常用于封装测试厂,SMT领域等,实现Open/Short Test、 I/V Curve Analysis、Idd Measuring和Powered Leakage(漏电)Test功能。SEM扫描电镜/EDX能量弥散X光仪(材料结构分析/缺陷观察,元素组成常规微区分析,精确测量元器件尺寸)扫描电镜(SEM)SEM/EDX(形貌观测、成分分析)扫描电镜(SEM)可直接利用样品表面材料的物质性能进行微观成像。EDX是借助于分析试样发出的元素特征X射线波长和强度实现的,根据不同元素特征X射线波长的不同来测定试样所含的元素。通过对比不同元素谱线的强度可以测定试样中元素的含量。通常EDX结合电子显微镜(SEM)使用,可以对样品进行微区成分分析。在军工,航天,半导体,先进材料等领域中,SEM/EDX(形貌观测、成分分析)扫描电镜(SEM)可实现材料表面形貌分析,微区形貌观察,材料形状、大小、表面、断面、粒径分布分析,薄膜样品表面形貌观察、薄膜粗糙度及膜厚分析,纳米尺寸量测及标示和微区成分定性及定量分析等功能EMMI微光显微镜微光显微镜(Emission Microscope, EMMI)是常用漏电流路径分析手段。对于故障分析而言,微光显微镜(Emission Microscope, EMMI)是一种相当有用且效率极高的分析工具。主要侦测IC内部所放出光子。在IC元件中,EHP(Electron Hole Pairs)Recombination会放出光子(Photon)。如在P-N结加偏压,此时N阱的电子很容易扩散到P阱,而P的空穴也容易扩散至N,然后与P端的空穴(或N端的电子)做EHP Recombination。在故障点定位、寻找近红外波段发光点等方面,微光显微镜可分析P-N接面漏电;P-N接面崩溃;饱和区晶体管的热电子;氧化层漏电流产生的光子激发;Latch up、Gate Oxide Defect、Junction Leakage、Hot Carriers Effect、ESD等问题Probe Station 探针台测试探针台主要应用于半导体行业、光电行业。针对集成电路以及封装的测试。 广泛应用于复杂、高速器件的精密电气测量的研发,旨在确保质量及可靠性,并缩减研发时间和器件制造工艺的成本,可用于Wafer,IC测试,IC设计等领域。FIB(Focused Ion beam)线路修改FIB(聚焦离子束,Focused Ion beam)是将液态金属离子源产生的离子束经过离子枪加速,聚焦后照射于样品表面产生二次电子信号取得电子像,此功能与SEM(扫描电子显微镜)相似,或用强电流离子束对表面原子进行剥离,以完成微、纳米级表面形貌加工。在工业和理论材料研究,半导体,数据存储,自然资源等领域,FIB可以实现芯片电路修改和布局验证、Cross-Section截面分析、Probing Pad、 定点切割、切线连线,切点观测,TEM制样,精密厚度测量等功能。失效分析前还有一些必要的样品处理过程。取die用酸法去掉塑封体,漏出die decap(开封,开帽)利用芯片开封机实现芯片开封验证SAM,XRAY的结果。Decap即开封,也称开盖,开帽,指给完整封装的IC做局部腐蚀,使得IC可以暴露出来,同时保持芯片功能的完整无损,保持 die,bond pads,bond wires乃至lead-frame不受损伤,为下一步芯片失效分析实验做准备,方便观察或做其他测试(如FIB,EMMI), Decap后功能正常。化学开封Acid DecapAcid Decap,又叫化学开封,是用化学的方法,即浓硫酸及发烟硝酸将塑封料去除的设备。通过用酸腐蚀芯片表面覆盖的塑料能够暴露出任何一种塑料IC封装内的芯片。去除塑料的过程又快又安全,并且产生干净无腐蚀的芯片表面。研磨RIERIE是干蚀刻的一种,这种蚀刻的原理是,当在平板电极之间施加10~100MHZ的高频电压(RF,radio frequency)时会产生数百微米厚的离子层(ion sheath),在其中放入试样,离子高速撞击试样而完成化学反应蚀刻,此即为RIE(Reactive Ion Etching)。 自动研磨机自动研磨机适用于高精微(光镜,SEM,TEM,AFM,ETC)样品的半自动准备加工研磨抛光,模块化制备研磨,平行抛光,精确角抛光,定址抛光或几种方式结合抛光,主要应用于半导体元器件失效分析,IC反向等领域,实现断面精细研磨及抛光、芯片工艺分析、失效点的查找等功能。 其可以预置程序定位切割不同尺寸的各种材料,可以高速自动切割材料,提高样品生产量。其微处理系统可以根据材料的材质、厚度等调整步进电动机的切割距离、力度、样品输入比率和自动进刀比率等。去金球 De-gold bump,去层,染色等,有些也需要相应的仪器机台,SEM可以查看die表面,SAM以及X-Ray观察封装内部情况以及分层失效。除了常用手段之外还有其他一些失效分析手段,原子力显微镜AFM ,二次离子质谱 SIMS,飞行时间质谱TOF - SIMS ,透射电镜TEM , 场发射电镜,场发射扫描俄歇探针, X 光电子能谱XPS ,L-I-V测试系统,能量损失 X 光微区分析系统等很多手段,不过这些项目不是很常用。芯片失效分析步骤:1、非破坏性分析:主要是超声波扫描显微镜(C-SAM)--看有没delamination,xray--看内部结构,等等;2、电测:主要工具,万用表,示波器,sony tek370a3、破坏性分析:机械decap,化学 decap芯片开封机4、半导体器件芯片失效分析 芯片內部分析,孔洞气泡失效分析(原作者:北软失效分析赵工)
  • 中微半导体入股志橙半导体 后者经营范围含半导体器件等
    9月10日,据企查查信息,深圳市志橙半导体材料有限公司(以下简称“志橙半导体”)发生工商变更,新增股东中微半导体设备(上海)股份有限公司。同时,志橙半导体的注册资本由1234.57万元人民币增加至1296.30万元人民币,增幅为5%。图片来源:企查查信息截图官网介绍称,志橙半导体成立于2017年12月26日,生产基地为全资子公司东莞市志橙半导体材料有限公司。该公司的经营范围包含电子元器件、半导体产品、化合物半导体产品、光学电子产品、太阳能产品、金属材料和金属化合物材料;半导体器件生产设备的销售、维修服务等。
  • 打造半导体材料精准研究仪器
    每个人都想拥有“充电五分钟,通话两小时”的理想手机。而这个简单的“理想”却代表着对电子产品中半导体材料和器件性能的极致追求。手机里芯片快速数据运算过程和电池能量存储过程都发生在只有头发丝直径万分之一的纳米尺度下,而这些过程会影响到产品的工作稳定性和服役寿命。  研制高效绿色能源器件和低功耗信息功能器件,亟待从纳米尺度深入研究和理解材料结构与器件性能之间的构效关系,阐明纳尺度多场耦合条件下材料的性能退化与损伤机理、器件的功能退化与失效机理。  近年来,围绕这一前沿基础科学问题,北京科技大学材料科学与工程学院教授、中国科学院院士张跃团队在国家重大科研仪器研制项目(自由申请)的支持下,开展了“纳尺度多场耦合效应的原位表征系统”研制工作。  日前,项目顺利通过结题验收,该仪器的成功研制,将实现对半导体材料在服役过程中各项指标的原位监测。研制过程中,科学家率先实现了在超高真空、极低温、多波段光谱入射采集、纳牛级应力精确加载等条件下,材料微观原子结构、界面能带结构、器件电学性能的跨尺度原位表征,填补了纳尺度多场耦合原位表征技术的空白。张跃院士(中)、张铮教授(左)与项目组成员在工作中。(研究团队供图)  以国家需求为牵引  在材料科学领域,材料的服役行为研究并不陌生,包括澄清服役环境中材料的损伤机理、认识其损伤动力学过程、评价工程结构的安全性与服役寿命、发展延长寿命的技术等。  过去几十年来,研究者已经在金属材料体系中建立起成熟的材料服役研究和表征方法,监测其服役过程中的各项指标,从而对材料的服役可靠性进行精准判断。  但是,针对半导体材料服役行为的研究却面临较大挑战。据研究人员介绍,一方面,随着芯片集成度提升,半导体器件中材料越来越小,如今单个晶体管核心结构单元的尺寸小于10纳米 另一方面,随着可穿戴设备和便携设备的发展,半导体材料与器件的工作环境越来越复杂,冷热交替、潮湿、弯折与柔性等环境都对材料和器件有了更加严苛的要求。他们意识到,建立能在多场耦合服役条件下针对低维半导体材料的结构和性能演变规律进行原位研究的方法与技术手段,对研制下一代半导体材料与器件尤为重要。  与此同时,国家未来发展对于下一代半导体材料与器件也有重大现实需求。在这样的背景下,研究团队决定自主研制一台新仪器,以在纳尺度多场耦合效应下进行半导体材料和器件性能调控与服役行为原位科学研究。  2016年,在国家自然科学基金资助下,国家重大科研仪器研制项目(自由申请)“纳尺度多场耦合效应的原位表征系统”正式立项。  研究人员向《中国科学报》介绍,研制这一新仪器的难点在于,通过多物理场耦合模拟半导体材料和器件的实际工作条件,从纳尺度原位揭示半导体材料与器件界面载流子输运行为与调控规律。同时,通过材料结构和界面的精细设计,提高半导体器件性能,设计构筑低功耗、高性能的半导体器件,研究建立纳尺度下半导体材料与器件的服役行为研究方法与评价标准。  “研以致用”的实践精神  项目执行的5年中,研究团队坚持设计、制造、研究、验证协同的全链条研究思想,直面建立微纳尺度结构与宏观器件性能之间的关联性这一重大挑战,陆续攻克和解决了多项关键科学和技术难题。  据了解,科研团队始终坚持“研以致用”的工作作风,以应用为导向开展科学研究。  “材料研究不能仅仅停留在‘测一条曲线’上,坚持往前多想一步、争取多做一步,是我们团队多年养成的研究习惯。”项目团队成员、北京科技大学材料科学与工程学院教授廖庆亮介绍说。  正是在“多一步”思想的指引下,研究团队周密布局、仔细论证、充分验证,把每一分钱都花在刀刃上。项目启动之初,研究团队就组建了由业内顶尖专家组成的咨询组,严格把关项目进度和研制方案。  同时,他们走访了30多家国内外生产表征仪器设备的企业和机构,寻求从科学研究到实际应用的经验。同时,充分发挥现有研究平台的作用,遵循模块化设计理念,分模块、分功能逐一验证,陆续研制了多台套功能验证装置,逐个测试了装置和功能的可行性、验证方案的合理性,最终经过多轮论证,形成了系统的研究方案。  具体而言,根据这套设备的目标,研究团队设计了超高真空低温探针、共聚焦激光耦合、设备监控与信号测量等三个子系统。  其中,“超高真空多功能低温探针”“多通道样品台和多功能探针联动耦合系统”“下沉式杜瓦和针阀制冷系统”“三段调节的共聚焦耦合光路”等多项国际领先的“黑科技”,把各项指标做到了极限。  “设备的真空水平实现了10-8Pa的超高真空,温度达到4K的极低温环境,可以模拟太空中地月之间的真空和温度水平,为开展空天探测用半导体材料与器件研究提供了良好的研究平台。”项目团队成员、北京科技大学材料科学与工程学院教授张铮介绍。  此外,该团队还格外注重设备研制的通用性。据了解,这套系统能够广泛应用于能源、电子、传感等领域的功能器件在多场耦合条件下关键结构单元的演变规律和性能调控方法的研究。  用“工匠精神”死磕  “要做世界领先、功能完备、性能最优先的设备,而不仅仅是完成指标要求。”项目启动之初,团队就立下了“军令状”。  为了让先进的科研仪器能够真正用起来,研究团队除了要提出原创的科学思想外,还要直面许多工程和技术难题。为此,他们在可借鉴经验较少的情况下,以兢兢业业的“工匠精神”“死磕”这些难题。  项目团队成员、北京科技大学材料科学与工程学院教授康卓介绍,要表征电子输运行为如何受到外加物理场耦合的影响,必须同时布局力、热、光、电等多个外加物理场的发生装置及相应的表征与测量装置。而在狭小空间中,多个装置互相干扰问题、光路与探针的空间分配问题、超高真空中装置稳定与兼容问题等是最大挑战。为此,项目组通过设计下沉式的制冷装置、多通道样品台和多功能探针系统,巧妙地解决了多路信号同时采集的问题。  摆在项目组面前的另一个重大挑战是光路的耦合问题。在对材料进行光学表征时,需要将一束激光打到材料表面,让其发生变化,然后形成反射光。从科学原理上,要求这两束光走一条严格准直的光路,最终从激光源发出、经样品反射、到达探测器上,才能实现表征。  “对于我们的设备而言,在被检测材料所处的环境中,一束激光需要穿过10个反射镜组成的光路调节镜组、1个直径为1.5厘米的石英玻璃窗口、3个垂直排列的直径小于1厘米的屏蔽舱顶盖,最后准确抵达直径为0.5厘米的物镜后焦面光心,整个光路距离将近1米。在这个距离实现两束激光的准直,就好像一个神枪手在打靶,光路每偏转0.1度都会导致入射和反射光出现巨大偏差。”康卓介绍说。  为了解决这一问题,项目组前后设计了十余套解决方案,从全手动目视调节到成像辅助调节,设计了水平自由度的精确位置控制平台,建立了分步调节方法,最终完美解决了光路耦合的重大问题。  2020年项目接近尾声,突遇新冠疫情袭来,研制进度受到了严重影响。为了赶工期,研究团队的老师和学生们加班加点。“早上一大早就来跟设备‘报到’,晚上深更半夜才回家睡个觉,基本上日夜都和设备呆在一起。”张铮和同事开玩笑,“这台设备就是我的‘孩子’。”  在研究团队的共同努力下,该设备最终按期完成任务指标要求。在2021年5月国家自然科学基金委工程与材料科学部组织的结题验收中,专家组一致认为该项目“全面完成计划,研究工作取得突出进展”,综合评价为“优秀”。  面向未来,研究团队表示,目前的设备仅是1.0版本,在调试和使用的过程中,设备从系统、软件、硬件等多方面将不断简化、优化,经过几年时间的调试,有望达到成果转化需求。  他们期待,该设备能为研制低功耗晶体管、高效率光电转换器件、自驱动可穿戴人工智能传感器件等提供技术支撑。
  • 行业应用|半导体行业发展&解决方案
    8月11日,第十一届(2023年)中国电子专用设备工业协会半导体设备年会暨产业链合作论坛在无锡落下帷幕。参会专家指出,国产半导体设备已经取得突破性进展,海外设备进口和本土设备销售呈现“此消彼长”态势,上半年半导体相关行业制造业增长比较快,半导体器件专用设备制造业增加值增长30.9%。并预计2023年国产主要半导体设备制造商订单有望稳步增长。 中国电子专用设备工业协会理事长、北方华创董事长赵晋荣表示,10余年来中国半导体设备市场规模持续发展,尤其是近几年,中国本土设备取得了较大进步。数据来源:浙商证券研究所 虽然国产半导体设备在诸多领域实现了从0到1的突破,但是关键设备、零部件以及满足特殊工艺生产需求的国产半导设备依旧缺乏,良率、稳定性等还待进一步提升,在全球半导体设备市场的规模依旧偏小。 中国电子专用设备工业协会常务副秘书长金存忠指出,国产半导体设备进一步发展,还亟需解决光刻机等关键设备国产化率低等问题,目前国产制造商能够制造的主要是先进封装和LED领域的光刻机,而且光刻机的难点不仅在制造出来,还要保证设备的效率和良率。另外,关键零部件成本在设备进口成本占比较大等问题,有的厂商反馈零部件成本占比高达六成。  作为半导体设备终端用户,半导体制造厂商尤为关注生产效率和良率,就需要设备厂商与产业链进一步合作,攻关克难。“没有95%以上的良率、没有把成本降下来,企业是不能生存的,而且生产的越多,亏损的越多。”中国电子专用设备工业协会副秘书长、积塔半导体(上海)有限公司总工程师李晋湘表示,建议半导体制造企业和设备企业、材料厂商等进一步深化合作,推动工艺持续进步,不仅攻克具有经济效益规模而难度小的设备,也要挑战量小而难度大的设备,并且加强半导体设备的配套软件开发,建立和完善培训系统,让国内工程师能够掌握和使用好本土设备。小编也在这里整理了半导体行业相关优质解决方案供大家参考:集成电路检测方案:1、等离子清洗 仪处理 TEM透射电镜样品清洗和活化2、4H-SiC中EPI厚度,载流子浓度检测方案 3、硅片表面中金属污染物检测方案 4、集成电路制造行业常用有机溶剂中金属杂质检测方案 光电器件解决方案:1、小束斑+特色SnapMap快照成像功能分析SnOx成分半导体器件 2、高分辨光学链路诊断仪OCI+精准测量多分支光链路损耗3、SiC外延片测试方案4、TMC STACIS主动隔振器在抬高的钢支架上的隔振应用传感器解决方案:1、TEC半导体制冷片:热释电系数测试中的正弦波温度控制解决方案更多解决方案 请点击 查 看 ══════════▼▼▼══════════行业应用栏目简介:(http://www.instrument.com.cn/application/ ) 【行业应用】是仪器信息网专业行业导购平台,汇聚了行业内国内外主流厂商的优质分析方法及相应的仪器设备。栏目建立了兼顾国家相关规定和用户习惯的专业分类,涉及食品、药品、环境、农/林/牧/渔、石化、汽车、建筑、医疗卫生等二十余个使用仪器相对集中的行业领域,目前,已经收录行业解决方案6万+篇。
  • 半导体测试设备:超长“待机”服务半导体全行业
    相对于半导体技术迅猛发展,制程工艺不断缩小的迭代周期,与半导体产业一路相伴的测试设备产业似乎“缓慢”得多。在全球半导体测试设备龙头爱德万测试(ADVANTEST)的官网上,其分别推出于1999年、2003年的两款测试设备V93000测试系统和T2000测试系统至今依然有出货记录。根据爱德万测试的官方数据,V93000机型在2017年创下累计出货5000台的记录,在2019年仍有单笔订单超过30台的情况。同样地,另一家测试机龙头泰瑞达的一款推出于2001年的数模混合测试平台至今也仍在该公司的官网销售。作为半导体行业唯一贯穿设计、制造、封装、应用全过程的重要部分,半导体测试设备对于产品良率和品质的提升至关重要。根据美国的半导体行业调查公司VLSI Research发布的按销售额排名的2019年全球前十大半导体设备厂商中,测试设备商占据两个席位,分别是日本的爱德万公司(第6)、美国的泰瑞达公司(第8)。2019年爱德万、泰瑞达销售额(包括服务收入)分别为24.7亿美元、15.5亿美元。随着芯片工艺不断升级,一颗芯片上承载的功能越来越多,对测试的需求也不断增长。而一台20年前上市的半导体测试ATE设备至今依然可以有良好的销售业绩,这种超长“待机”的背后,是哪些核心技术能力的支撑?在摩尔定律快速迭代的技术路线之下,测试设备如何以“以缓慢应对迅疾”,为半导体产业的推进保驾护航?超长“待机”背后看似“缓慢”其实一直在变化。“现在半导体测试机台,都是一个平台的概念。尽管V93000系列现在依然在出货,但是它早已不是20多年前的那台机器了。”爱德万测试(中国)管理有限公司(下称“爱德万测试”)新概念业务VP夏克金博士对集微网指出,以V93000系列为例,其实经历了Single Density、Pin Scale、Smart Scale、EXA Scale四代升级。T2000系列也是一样的,推出了各种针对不同应用的板卡模块。对于动辄百万乃至千万元量级的半导体测试设备,使用期限作为投入成本中考虑的重要因素之一,10到20年几乎是最基本的参考标准。但测试设备的技术进步从未停止,它是跟摩尔定律同步的,这就要在产品平台更新与兼容性两者之间实现最优平衡。这些机型之所以能够维持如此好的销售成绩,是因为ATE设备仅需更换测试模块和板卡就可应对更多种类的测试需求以及提升其测试性能,而不需要更换机器。对于半导体测试设备来说,核心的技术能力在于功能集成、精度与速度,以及可延展性。“在一台测试机的设计之初就要考虑得特别长远。”夏克金说,这其中,需要设计研发人员有非常前瞻的技术眼光以及平台化思维,“你不能说,4G时代的测试机台,到了5G时代,技术更新升级了,就完全不能使用,要全部换新的。”测试的价值体现最主要在上市时间(Time-to-Market)和成品率提升(Yield Improvement)两个方面。半导体检测设备的核心功能是用来检测晶圆制造和芯片成品的质量,辅助降本、提高良率和增强客户的订单获取能力。检测设备自身不会改变晶圆或芯片的质地,但是经过优化的测试方法,可以在具有高测试覆盖率的前提下,控制成本并降低在最终客户那里的DPPM(Defective Parts Per Million),减少退货率。一台测试设备对于半导体制造厂商来讲是重资产投入,其使用周期少说也要长达10至20年,在这样的超长“待机”背后,更考验的是半导体测试设备厂商的工程支持能力。而越是高端的测试设备,工程支持能力越为关键。“你同样用一台测试机验证IC设计,工程能力强的服务团队可能1个月就能帮你找到所有的bug,就可以快速进入量产上市阶段。”夏克金指出。芯片融合时代:测试也要“上天入云”过去简单的电子技术就可以满足的需求,如今可能需要人工智能、机器学习、无人驾驶、医疗仪器、基础设施扩建等多元覆盖实现。终端应用领域对于半导体技术的要求亦呈指数增长。因此,半导体元器件必须具备极高的可靠性,半导体测试设备对于供应链的价值也由此变得更加重要。对应迅速更新迭代的智能世界,先进制程升级要求半导体检测技术快速迭代,因而对于ATE机台来说,平台通用化、模块化、灵活性高、可升级是未来技术发展的大趋势。系统级测试(SLT,system level test)、大数据分析、ATPG编程自动化等,都是测试领域应对未来半导体市场发展面临的挑战,这需要测试设备厂商有超前的技术眼光,随时跟进市场需求。在此前十年,爱德万先后并购了Asia Electronics, Inc.、Credence Systems GmbH、Verigy以及W2BI.COM,进一步完善了公司除存储以外的业务布局,包括SoC、无线、汽车等综合领域。当前芯片已经进入融合的时代 (Age of Convergence),这对于测试设备提出更多要求。和以往单一驱动力不同,现在的半导体产业有着众多的驱动力,从无人驾驶到虚拟现实,从人工智能到云计算,从5G到IoT,从传感器到SIP,众多驱动力共同推动半导体测试技术不断前行。爱德万扩展的脚步从未停止。今年(2020年)7月,爱德万测试发布了TE-Cloud(Test Engineering Cloud)云平台服务,整合各个合作伙伴测试资源,可以为客户提供完整的测试程序开发环境,以及全方位测试外包服务。9月,爱德万又与半导体软件市场数据分析解决方案PDF Solutions建立合作,拓展AI在测试领域的应用。使用AI和机器学习技术的PDF公司,通过软件平台进行的大数据分析,对于提高精细工艺关键点的良率,确保设备质量以及降低检测和测量成本至关重要。更重要的是,随着半导体制造、测试和装配的独立分工,PDF公司的Exensio平台和连接数据基础的Data Exchange Network(DEX),通过连接半导体供应链,将半导体工程师与半导体测试设备连接起来,为设计和制造提供重要的参考,有助于降低检测成本,提高性能和良率。而通过将PDF公司的Exensio平台和DEX,与高性能检测设备相结合,爱德万可以为客户提供在产业链上任何点位的连接、测试、测量和分析,有助于进一步提高客户的良率和降低检测成本。近年来,集成电路测试需求的热点围绕IOT、5G、AI以及高性能计算(HPC),尤其是射频应用开发增长极快。在这个趋势下,测试设备开始要在整个产业链“上下左右”都多走一步。具体来说,要与IC设计层面结合更多,在产品层面则是更多需要向系统级测试(SLT)发展,往上走则是要接入云端、AI、大数据。夏克金表示,目前爱德万测试的业务已不止专注于后道,而是涵盖了全面的半导体产业链及SSD、手机、平板等系统测试产业,除了传统的SoC和存储测试机台之外,还包括了服务、支持、咨询、SSD测试以及分选机台、纳米电子束扫描电镜等机电业务。中国市场测试需求不断增长根据SEMI统计,目前全球半导体检测类设备市场规模超800亿元,其中前道量测设备市场规模约406亿元,后道测试设备约399亿元。从全球市场格局来看,当前半导体检测设备呈现寡头垄断格局。其中前道检测设备领域,科磊、应用材料、日立合计占比76%。在后道高端测试设备市场,以2011年爱德万收购惠睿捷(VERIGY)为标志,形成了以爱德万、泰瑞达为中心的双寡头格局。目前以爱德万、泰瑞达为代表的后道测试设备厂商形成了SOC测试、存储器测试、模拟信号测试、数模混合信号测试等全面的产品系列,同时对5G、AI、物联网等新兴趋势进行了积极开发布局,代表着行业最前沿的水平。而伴随着中国兴建半导体厂的规划逐渐落地,以及国际半导体公司在中国的不断投入,中国开始引入越来越多的半导体测试设备。同时,在大方向上,随着终端市场需求增加,对于存储的需求格外旺盛,此外包括电源、模拟、逻辑产品的部分品类需求也呈爆发态势,极大地促进了行业对半导体测试设备的需求。夏克金说,多年以前,国内的芯片设计水平和技术指标比较低,与国际甚至可能有一两代的差距。但是现在来看,这种差距总体上越来越小,在某些领域甚至都有可能实现反超。根据国金证券的测算,科磊、爱德万、泰瑞达三家合计中国大陆地区销售收入规模为150亿元。国金证券预估上述三家公司在中国大陆地区的市占率超过70%,由此推测出中国大陆每年对半导体检测设备的需求量在 200亿元以上。机构分析指出,尽管测试设备市场头部效应明显,在芯片制造、封测所涉及到的上千道加工工序中,包括晶圆检测在内的多个细分领域仍存在新玩家入局的机会。目前国内半导体测试设备与国际水平仍有很大差距,但近年来已有一些国内企业崭露头角,并在一些层面打破国外测试机厂商的垄断,未来具备良好成长空间。国内半导体测试设备领先企业包括华峰测控、长川科技、武汉精鸿等,在模拟、存储测试机以及SoC测试机领域,都在积极布局,并取得了一定的突破。
  • 直播 | TESCAN SOLARIS X 提升你在半导体领域的分析能力
    直播 | TESCAN SOLARIS X 提升你在半导体领域的分析能力摘要:2024年3月5日16:00直播,从半导体分析的最新进展,到提高吞吐量和质量的工具演示,您将收获克服半导体样品分析挑战的宝贵经验。随着半导体行业朝着集成度、密度和小型化的更大目标发展,保持领先势在必行。 标记日历2024年3月5日,我们诚邀您参加一场“将改变您处理半导体样品分析的方式”的网络研讨会。本次会议将深入探讨影响半导体样品分析的最新趋势和技术,并展示TESCAN SOLARIS X的尖端功能。 主题:无论是半导体深度截面切割,制作无镓离子污染的TEM样品,以及实现逐层剥离,高吞吐量与质量保证TESCAN SOLARIS X 皆可兼顾时间:2024年3月5日(周二)下午4:00演讲人:Lukas Hladik | TESCAN集团 产品市场经理内容预告 行业发展洞察全面了解半导体行业对小型化和高密度集成化的追求。了解这些趋势如何重塑设备的功能、速度和功耗。 技术深度探究了解所有关于TESCAN SOLARIS X的信息,该系统具有快速、大面积切割和样品制备的能力,而不会受到Ga+离子暴露的不利影响。 实际演示体验现场演示,展示TESCAN SOLARIS X 熟练处理各种复杂样品,从OLED显示组件到14nm FinFET CPU材料等等。 您将收获从半导体分析的最新进展,到提高吞吐量和质量的正确工具演示,您将收获克服半导体样品分析挑战的宝贵经验。 想要深入了解半导体技术的各个方面,我们诚邀您访问我们的新网站 相约专家 Lukas Hladik, 是TESCAN集团经验丰富的产品市场经理,自2012年以来一直在公司发挥重要作用。他专注于等离子FIB-SEM和失效分析解决方案,他的专业知识深深植根于半导体研发,并与全球半导体行业密切相关。 现在预订您的位置,加入半导体前沿技术的专家社区。具体参加会议可移步至公众号:TESCAN公司
  • 国产半导体设备厂商盛美半导体即将IPO上会
    p style=" text-indent: 2em text-align: justify " 早在6月1日,上交所正式受理了盛美半导体设备(上海)股份有限公司科创板上市申请。日前,据集微网查询得知,盛美半导体将于9月28日正式上会! /p p style=" text-align: center text-indent: 0em " br/ img style=" max-width:100% max-height:100% " src=" http://uploadimg2.moore.ren/images/news/2020-09-21/090123.jpg" / /p p style=" text-indent: 2em text-align: justify " 据悉,盛美半导体主要从事半导体专用设备的研发、生产和销售,主要产品包括半导体清洗设备、半导体电镀设备和先进封装湿法设备等。公司坚持差异化竞争和创新的发展战略,通过自主研发的单片兆声波清洗技术、单片槽式组合清洗技术、电镀技术、无应力抛光技术和立式炉管技术等,向全球半导体制造、封装测试及其他客户提供定制化的设备及工艺解决方案,有效提升客户的生产效率、提升产品良率并降低生产成本。 /p p style=" text-indent: 2em text-align: justify " 2017-2019年,盛美半导体的营业收入分别为25,358.73万元、55,026.91万元、75,673.30万元;净利润分别为1,086.06万元、9,253.04万元、13,488.73万元。 /p p style=" text-indent: 2em text-align: justify " 此前,盛美半导体董事长王晖博士在接受集微网记者采访时表示:“这几年中国半导体产业的发展可以说是突飞猛进。尤其是在新建产线方面,包括长江存储、合肥长鑫、中芯国际、华虹华力都有多个晶圆厂正在扩建中,同时还有积塔半导体、士兰微、粤芯等也在新建产线中,所以我觉得现在国内的市场环境特别好。尤其是对于已经有十几年技术储备的盛美半导体来说,我们正赶上一个快速发展的好时期。” /p p style=" text-indent: 2em text-align: justify " 据悉,盛美半导体从一开始切入清洗设备市场便决定要走差异化路线,从而与国际厂商竞争。经过二十多年的技术储备,如今的盛美半导体已成长为国内清洗设备的“领头羊”,公司研发团队先后开发出了SAPS、TEBO、Tahoe等全球领先的半导体清洗技术及设备。 /p p style=" text-indent: 2em text-align: justify " 据王晖介绍,2009年,盛美半导体第一个兆声波清洗技术SAPS取得突破后,便进入SK海力士无锡生产线测试,而这也是国产设备第一次进入国际知名厂商;2015年,公司研发团队又开发出TEBO无损伤兆声波清洗技术;2018年,盛美半导体再下一城,发布了Tahoe高温硫酸清洗设备 /p
  • 安世半导体成立独立半导体设备公司ITEC
    继宣布收购NWF100%股权后,闻泰科技全资子公司安世半导体(Nexperia)再现大动作。7月6日,安世半导体发布消息,总部位于荷兰奈梅亨,由飞利浦(现为Nexperia)于1991年创立的半导体设备制造商ITEC,今日宣布成为独立实体,但ITEC仍然是Nexperia集团的一部分。安世半导体表示,ITEC致力为全球半导体制造商提供经久耐用的创新性制造解决方案。通过此举,ITEC能够及时解决第三方市场的问题,满足对半导体的喷井式需求。图片来源:闻泰科技据介绍,ITEC提供半导体、RFID和MiniLED制造设备和系统,包括适用于裸片粘接和芯片测试的ADAT组装设备、Parset测试平台、用于半导体前道和后道制造的智能视觉检测系统、工厂自动化和智能制造等解决方案,从而实现先进的半导体后道制造。ITEC总经理Marcel Vugts表示,在ITEC的历史上,通过使用我们生产的设备,分立式半导体器件的年产量已大幅提高,从1991年的45亿增加到2020年的900多亿。随着当前全球芯片严重短缺及交货期的延长,ITEC现作为一家独立公司,有利于未来的发展。
  • 第三方检测巨资布局半导体
    7月1日,苏州苏试试验集团股份有限公司发布“创业板向特定对象发行证券募集说明书(申报稿)”。募集说明书显示,苏试试验本次向特定对象发行股票募集资金总额不超过6亿元,主要用于扩建集成电路全产业链失效分析、宇航产品检测实验室、高端制造中小企业产品可靠性综合检测平台三个检测实验室。其中用于仪器设备购置和安装的投资金额预算近4亿元。投募项目苏试试验于2019年12月收购苏试宜特(上海)检测技术有限公司将公司可靠性试验服务的检测范围拓宽至集成电路领域,“面向集成电路全产业链的全方位可靠度验证与失效分析工程技术服务平台建设项目”的实施主体为发行人的全资子公司苏州苏试广博环境可靠性实验室有限公司。随着半导体投资金额越来越巨大、对设计失误的容忍度几乎为 0,因此必须在芯片进入量产之前、量产中,需要进行严格的验证测试,主要包括功能测试和物理验证等,通常又称为实验室测试或特性测试,这部分通常由第三方检测实验室为芯片设计公司提供服务,具体服务范围涵盖晶圆制造、集成电路(IC)设计、集成电路封装、终端产品等等。第三方半导体检测市场巨大近年来,越来越多的集成电路设计、晶圆制造企业放弃测试环节的产能扩充,而将其测试需求委托给第三方集成电路测试企业,独立的第三方集成电路测试企业正逐步成为集成电路产业链中不可或缺的一部分:一方面,第三方测试企业可以减少测试设备的重复投资,通过规模效应降低测试费用,缩减产品生产成本;另一方面,专业化分工下的第三方测试企业能够更加快速地跟进集成电路测试技术的更新,及时为集成电路设计、晶圆制造及封装企业提供多样化的测试服务。目前第三提供的检测服务通常包括可靠性分析(RA)、失效分析(FA)、晶圆材料分析(MA)、信号测试、芯片线路修改等,其中比较重要的包括可靠性分析、失效分析等。根据不同的分类标准,失效形式有多种类型,如根据电测结果,失效模式有开路、短路或漏电、参数漂移、功能失效等;根据失效原因可以分为电力过应、静电放电导致的失效、制造工艺不良导致的失效等。根据中国赛宝实验室的数据,在分立器件使用过程中的失效模式,开路、参数漂移、壳体破碎、短路、漏气的占比分别约为35%、28%、17%、15%、4%,集成电路使用过程中的失效模式,短路、开路、功能失效、参数漂移占比分别约为38%、27%、 19%、10%。失效分析主要为集成电路设计企业服务,而集成电路设计产业已成为引领中国半导体产业发展的重要环节。根据2019年中国半导体产业产值分布来看,IC设计业占比将达40.6%、IC制造占比约28.7%、IC封测占比约30.7%。根据中国集成电路设计业2019年会上发布的数据,2015-2019年中国集成电路设计企业分别为736、1362、1380、1698、1780家,年均复合增速达到24.7%,未来随着国内半导体产业的不断崛起,预计国内半导体设计企业数量仍将保持较快速增长。2019年IC设计销售收入达到3084.9亿元,同比2018年的2576.9亿元增长19.7%,在全球集成电路设计市场的比重首次超过10%。随着中国大陆半导体产业的迅猛发展,国内涌现出越来越多的上下游半导体企业,形成了一个强大的产业链,这些企业对实验室分析存在切实需求,但众多企业的需求量不足以投入百万或千万美元级的资金设立实验室和采购扫描电子显微镜等高端设备。另外,人员成本和技术门槛日益提高,在这种背景下第三方采购相关分析设备建立商业实验室应运而生。根据苏试宜特的预测,国内半导体第三方实验室检测行业未来3-5年的市场规模将达到 50亿元人民币,同时加上工业用、车用、医疗、军工电子产业上游晶圆制造到中下游终端产品验证分析的需求,估计2030年市场至少达150-200亿。相关仪器市场将爆发随着第三方半导体检测机构的兴起,IC企业的研发门槛和成本将大幅度降低,整个集成电路市场将持续发展,第三方半导体检测机构将采购大量的相关仪器设备以应对日益增长的半导体检测需求。与此同时,芯片制造生产技术快速发展迭代,新的技术对检测仪器设备提出了多样化需求,第三方检测机构需要不断进行仪器设备的更新换代,这将进一步促成相关仪器市场爆发。相关的检测项目如下:广义检测设计前道:晶圆生产中道:晶圆制造后道:晶圆封测切磨抛离子注入扩散镀膜抛光刻蚀曝光清洗第三方检测验证测试(可靠性分析、失效分析、电性测试、电路修改)WAT测试CP测试FT测试缺陷检测surface scan无图形缺陷检测有图形缺陷检测review SEME-Beam掩模版检测残留/沾污检测量测wafer-sites膜厚四探针电阻膜应力掺杂浓度关键尺寸套准精度几何尺寸测量测试有效性验证:对晶圆样品、封装样品有效性验证WAT测试:硅片完成所有制程工艺后的电性测试功能和电参数性能测试:CP测试(封装前)、FT测试(封装后)本次苏试试验集成电路检测的采购清单如下:序号设备/软件名称数量(台/套)总价(万元)1聚焦离子束11,4002双束聚焦离子束11,1003穿透式电子显微镜12,8004双束电浆离子束11,5005X 射线光电子能谱11,1006飞行时间二次离子质谱仪11,1007俄歇电子能谱仪17708傅立叶红外光谱仪12409超声波扫描显微镜246010超声波切割系统120011扫描电子显微镜21,60012粒子研磨系统115013立体显微镜428014阻抗测试仪115015奈米探针测试11,20016原子力显微镜1280173D 断层扫瞄11,00018多管脚集成电路耐静电测试22,60019集成电路耐静电测试21,40020多管脚集成电路自身充放电测试228021电压/电流检测仪228022雷射打标机12023离子蚀刻机18024老化系统超大功率21,68025老化系统中大功率21,20026低温老化系统中大功率132027老化系统多电源中大功率240028高加速应力测试系统中小功耗18029快速温变试验箱214030导通电阻评估系统15031老化系统中低功耗130032潮湿敏感度模拟设备回流焊14033高温反偏老练检测系统26034高温反偏老练检测系统25035高温高湿反偏老练检测系统210036间隙寿命老练检测系统216037高温反偏老练检测系统12038分离器件综合老练检测系统12039DC/DC 电源高温老练检测系统15040三端稳压器高温老练检测系统13041电容器高温电老练检测系统12542集成电路高温动态老练检测系统12543继电器都通测试仪11044颗粒碰撞噪声检测仪13545氦质谱检漏仪15046氦气氟油加压检漏装置19047数字电桥1248绝缘电阻测试仪1249漏电流测试仪1250耐电压绝缘测试仪1251温湿度偏压测试系统210052高加速温湿度偏压测试系统222053高低温实验/湿度循环/储存测试系统324054液态高低温冲击测试系统216055翘曲实验系统126056物理尺寸量测设备17057半导体分立器件测试系统(含自检模块)13258继电器综合参数测试仪14559混合信号测试仪112060超大规模集成电路测试系统15561电源模块测试系统15062Tester Handler113463数位模拟混合信号 IC 测试系统15064大规模数字集成电路 ATE 测试机140065冷却水塔16066空压机14067制水机14068空调系统120069环保设备23070环保设备12071设计软件19072信息管理软件190
  • 匠心如一,滨松中国半导体实验室在沪开幕
    2023年6月28日上午,滨松中国半导体实验室在浦东新区举行了开业庆典。滨松中国总经理以及众多半导体行业龙头企业、相关产业专家和其他研究院合作单位出席了此次活动。该实验室致力于为中国华东地区的半导体客户提供更加直观的产品展示平台、全方位的技术支持基地以及技术交流的场所,全面助力泛半导体合作伙伴的蓬勃发展,该实验室的正式启用也对滨松中国布局半导体检测产业,进一步开拓中国半导体检测市场具有重要里程碑意义。滨松中国半导体实验室的成立是继上海X射线检测实验室之后的第二个本土化实验室,更是滨松中国在后疫情时代“立足中心、辐射全国”发展战略的重要举措,将全面负责中国半导体客户技术支持,产品交流以及产品开发等工作。中国半导体行业在过去十年的发展中,经历了“两次”重大的改变,从原有求“量”的规模化发展到如今求“质”的精细化发展,从原有局限于中低端产品的“制造”到如今追求高端产品的“自主研发”,十年间的每一步都走得坚定且有力,这每一点进步,每一点成就都要求我们在分析检测相关的方法、设备、技术方面不断地磨练升级。十年间,滨松中国在半导体行业里面的探索从未停歇。为了把国际先进的检测和分析产品第一时间介绍给国内客户,为了快速解决半导体客户目前存在痛点问题,为了给国内客户提供更加贴合其需求的本土化技术服务,滨松中国从2021年就开始在半导体客户聚集的上海浦东新区张江地区筹划建立属于国内半导体客户自己实验室,如今终落成。实验室四大功能展区滨松中国半导体实验室具备以下四大功能展区:1、失效分析实验室:配备了PHEMOS-X、IPHEMOS-MPX等高端半导体检测设备,主要用于半导体失效分析产品展示、样品测试、配置方案确认等;PHEMOS-X:滨松新推出的高精度微光显微镜,也是未来失效定位的主流产品,可以实现高端制程芯片精确失效定位。除了配置更加丰富的激光扫描光源之外,还配置高压,高低温等各种定制化探针台,满足各类芯片的失效分析需求。IPHEMOS-MPX:高精度倒置微光显微镜产品,主要面向晶圆级或者复杂电路设计芯片的失效定位分析工作,具备微光发射,热发射,激光诱导发射,纳米镜头,固态浸润镜头,动态失效定位等多种分析方法集成一体的特点。2、超净间实验室:十万级超净间,配备了MiNY PL、MiNY EL等高端半导体检测设备,主要用于Mini/Micro LED巨量检测产品展示,样品测试以及配置方案确认等;MiNY PL:光致发光巨量检测设备,主要用于MiNi/Micro LED巨量快速在线检测,能实现传统电致发光方式不能达到的,每颗样品都可以独立测试的效果,大大提升了MiNi/Micro LED坏品检出率,实现早检出,早处理,降低后道工序修补成本。MiNY EL:电致发光测试设备,主要用于MiNi/Micro LED电致发光检测,可以实现快速、自动化测试,是MiNi/Micro LED产品质控抽检、中试线工艺研发、PL/EL结果对比修正、屏体产品final test以及失效分析的利器。3、备品间:主要用于备品备件存储,保证在常规流程的检测下,可以第一时间为客户提供检测服务,以及必要的备品备件更换服务。4、会议区:利用多媒体展示方式,为了来访客户提供全方位的技术培训、报告解析、产品培训、技术交流等服务。搭建三个平台滨松中国希望利用半导体实验室与国内客户一起搭建三个“平台”:1、展示平台:第一时间引入国内外的高端半导体实验设备、技术与国内客户一同观摩共享,与国内客户一起进步和成长;2、培训平台:为滨松半导体用户提供基础、进阶培训,让客户深入了解滨松半导体设备使用的各方面信息,解决产品使用过程中可能存在的潜在疑虑,提升客户使用感受,提升产品使用效率。除了产品使用前的培训,在产品使用中的相关维护、维修等内容,滨松中国也力求为客户提供“100%”满意的服务;3、验证平台:定制化方案或者技术验证平台,为客户提供更加丰富的、可定制化的产品方案和技术服务。配套使用的第三方产品或者技术验证平台,为客户提供经过验证的、可靠的整体解决方案。发展四个方向滨松中国成立于2011年,是滨松集团在中国的市场与商务活动中心,全面负责滨松产品在中国销售、技术支持、售后服务,累计服务客户超过6500家,在上海、深圳、武汉均已成立分公司并且在这些地区还建立了一系列自有或联合实验室,以实现更快速、更贴合本土化需求的服务。滨松中国会持续提升半导体实验室软硬件水平,使其成为为中国半导体客户服务的平台和基地,更好地助力中国半导体市场的发展,在未来的发展当中会朝着“丰富”“高效”“灵活”“开放”这四个方面发展,即持续增加半导体检测相关产品以及滨松新发布的产品和技术展示;定期开展用户培训会,为滨松用户介绍更加高效的分析技巧和软件使用方式;为潜在客户开展定制化样品测试,找到最适合每位客户的产品配置方案;邀请行业内专家和用户交流半导体检测和失效分析方面的经验技术,为客户提供一个“畅所欲言”的平台。,“不断探索未知未涉”是滨松一直以来秉承的使命,滨松希望在光子一途,可以与越来越多的能人志士携手前行,一起推动中国光子产业的未来。
  • 起拍价16亿!德淮半导体整体拍卖,含大量半导体设备
    7月7日,京东拍卖网预告了“德淮半导体有限公司整体资产”拍卖项目,包含德淮全部动产和不动产,但不含芯片成品和芯片原材料。(拍品详情 - 破产拍卖 (jd.com))拍卖预告信息显示,德淮半导体有限公司破产管理人将于2021年8月6日10时至2021年8月7日10时止(延时除外)在京东拍卖破产强清平台(处置单位:德淮半导体有限公司破产管理人,监督单位:淮安市淮阴区人民法院)。竞拍产品德评估价:238021.838845万元,起拍价:166616 万元,保证金:33323.2 万元,增价幅度:1000万元及其整数倍。在标的物描述中,可以看到德淮本次拍卖的动产、不动产详细清单,包括Fab1、动力中心、气体房倒班宿舍、变电站、办公楼等18项建筑,应用材料、尼康、KLA、TEL、LAM等设备62套,17.13万平方米的工业用地,以及其他电子设备和车辆等。机器设备清单:机器设备清单.doc实际上,德淮半导体在一年前就被媒体指出两年烧光153亿,是一场半导体骗局。去年武汉弘芯暴雷后,众多半导体项目都被媒体爆料出是骗局。这些层出不群的半导体项目骗局不仅伤害了国民情感,更是沉重打击了我国半导体产业发展,浪费了大量资源和国力。中国弹药充足,而骗子也目标明确,这几年,至少有六个大型半导体项目成了笑话。2020年,投资200亿的德科码正式宣告破产,并且成为欠薪、欠款、欠税的垃圾项目;2020年,投资近700亿的成都格芯厂,正式宣布遣散员工,全部停工停业;2019年,投资近40亿的华芯通”正式停工关闭,前期投资尽打水漂;2020年,投资超400亿的“坤同柔性半导体”,停工、停薪、停产;投资超170亿的德淮半导体现在也土崩瓦解;投资超1280亿的武汉弘芯半导体宣告破产。实际上这些烂尾项目背后存在多个职业“芯”骗团伙,武汉弘芯幕后黑手曹山还在济南做局欺骗地方政府立项了泉芯半导体,辛亏在弘芯暴雷后,泉芯半导体幕后黑手被挖出才避免了造成更大的损失。半导体产业已经成为了骗子的游乐园,这些幕后黑手甚至可以全身而退,立法打击诈骗团伙已刻不容缓。
  • 中微半导体再投两家半导体设备商
    12月29日消息,中微公司公告,拟以1亿元对上海睿励进行增资,本次增资完成后,上海睿励注册资本增加,而中微持有上海睿励股权比例也将超过20%。中微公司主要从事高端半导体设备的研发、生产和销售,包括半导体集成电路制造、先进封装的高端设备等,其等离子体刻蚀设备中7nm/5nm刻蚀技术为国内唯一,MOCVD设备已大规模投入生产。对于上海睿励,其专注于集成电路生产前道工艺检测领域设备研发和生产,是国内寥寥几家进入国际领先的 12 英寸生产线的高端装备企业,目前正在开发下一代可支持更高阶芯片制程工艺的膜厚和OCD测量设备以及应用于芯片生产的缺陷检测设备,进一步扩大市场规模。众所周知,集成电路芯片生产设备包括光刻、刻蚀、薄膜、工艺检测等多个细分门类。工艺检测设备,顾名思义,是为芯片生产各工艺提供监测数据以确保工艺指标满足要求从而提高成品率的关键性设备。编者认为,虽然这个环节不及前面三个那么有名,甚至可以算得上是“二流环节”了。但根据SEMI的数据显示,工艺检测设备市场规模已占半导体芯片制造工艺设备的10%以上,甚至最新达到13%,也是仅次于前三大细分设备,其实也算得上是主流环节了。关键的是,随着芯片工艺不断演进,生产过程中的所需检测的频次和步骤也不断增加,对工艺检测设备的需求和要求也越来越高。近年集成电路各类设备销售额占比数据来源:SEMI、华强电子网无独有偶,企查查显示,上海理想万里晖薄膜设备有限公司日前发生工商变更,新增股东中微公司等,同时公司注册资本也有大幅增加。理想万里晖主营薄膜、光伏电池、平板显示屏生产专用设备等。不仅如此,早前,中微也通过参股德国镀膜和膜层改性设备供应商Solayer;投资国内领先的薄膜设备公司沈阳拓荆科技,加大在集成电路薄膜设备领域进行了布局。不难发现,从2017年起,中微的MOCVD设备和刻蚀设备成为其两大收入来源。营收及净利也在迅速增长。不过,由于MOCVD采取降价销售抢占市场的原因,导致其综合毛利率较低,甚至出现逐年下滑的迹象。中微公司近年毛利率情况资料来源:国联证券研究所、华强电子网因此,中微的强项在于刻蚀设备等领域,当中研发和市场都取得了一定的成果,而中微频频投资同业,也是为了加大对自身半导体设备薄弱环节的布局。本次再投资上海睿励及理想万里晖等设备公司,显然是锦上添花,在刻蚀设备的基础上,继续扩大集成电路设备领域的总体布局,甚至打造成为平台企业,真是有钱任性。毕竟,中微公司实际控制人为上海国资委,包括第二大股东实控人也为大基金。政府控股背景无疑给它带来丰富资源和研发、税收等政策扶持。因此,中微研发比例也在逐年下降,除了是近年来主营业务高速发展的主因之外,也因其承担了许多国家科技发展重大专项研发项目,这能够节省、分摊部分研发投入。编者认为,对于国产半导体设备自给率尚不到15%左右的水平,特别是技术含量相对较高的半导体前段设备,还是过分依赖进口,国内厂商增长的潜力巨大,这也是中微纵然同业相对低端也要“蚕食”的原因了。从外部环境看,在国家推进国产替代主旋律之下,国内已规划晶圆厂投资额达1.5 万亿,这也让国产设备厂商迎来机遇期。今年初长江存储就引领了新一波的采购周期。因此,在长江存储、华虹系带动半导体设备采购的基础上,粤芯、积塔、长鑫以及中芯国际等多条产线,也纷纷开启国产设备采购的新周期,每条产线拉动效应也将数倍增大。数据显示,不仅在今年,全球半导体设备市场也将于2021年持续回暖。编者留意到,国内的设备增长预期也是同步的,而内存支出回升力道将转强,动能也主要来自前段制造商投资10nm以下先进制程设备,其中更以晶圆代工与逻辑芯片制造投资为主。各地区半导体设备销售额(单位:十亿美元)资料来源:SEMI、华强电子网值得注意的是,因上海睿励系中微董事杨征帆担任现任董事、中微董事朱民在过去一年内担任董事的企业,同时中微董事沈伟国系上海睿励过去一年内第一大股东上海创业投资有限公司的执行董事,故本次投资构成关联交易,但不属于重大资产重组。不过,正因如此,中微与上海睿励的客户和供应商有高度重叠,通过本次投资,也期望对产业链协同效应有更大的正面影响。
  • 科研赋能:珀金埃尔默助力半导体材料研发
    近年来中国在半导体领域的发展已经取得了一定的成就,想进一步的突破,仍面临着很大的挑战,限制中国半导体发展的关键因素集中在半导体设备和先进材料等方面。在材料方面,包括光刻胶、前驱体、硅材料、电子化学品等,是技术壁垒高的半导体关键材料,亟待广大科研单位及相关企业进行攻关。对这些关键材料的研发过程中,包括材料的优化开发、作用机理探究、定性定量分析、材料性能评估以及质量控制等,都需要使用各类分析手段。珀金埃尔默(PerkinElmer)作为分析仪器领先的全球供应商,广泛和深入的服务于全球研究机构和企业,助力半导体材料的研发。 珀金埃尔默分析技术在半导体材料研发中的应用 △点击查看大图 1 光刻胶 光刻胶是半导体制造和微电子制造中的关键材料之一,其研发和生产是半导体产业链中的关键环节,对于提升半导体制造工艺的精细度和效率具有重要意义。 光刻胶中金属元素杂质的存在会对其感光性能和成品质量产生影响,如降低分辨率、增加胶层的不均匀性等。光刻胶主要成分是树脂、光引发剂,单体等,主要成分都是有机物。在使用ICP-MS分析光刻胶中的金属杂质时,遇到的主要挑战是仪器对有机试剂的耐受能力以及反应池消除质谱干扰的能力。为了避免前处理可能带来的污染,通常采用有机溶剂稀释后直接进样的方式测试。珀金埃尔默NexION系列ICP-MS采用独有的34 MHz频率,使等离子体具有更强的趋附效应,中心通道更宽,有机类样品在经过等离子体时解离更完全,仪器测试有机样品时具有更好的稳定性。 NexION ICP-MS点炬状态直接进空气不熄炬, 体现出强大的基体耐受能力 △点击查看大图 同时,在进行ICP-MS分析时,光刻胶中大量的碳、作为等离子体的氩等会带来严重的质谱干扰,如12C12C+对24Mg+的干扰、12C15N+对27Al+的干扰,40Ar12C+对52Cr+的干扰、40Ar16O+对56Fe+的干扰等,NexION系列ICP-MS具有化学分辨能力,其核心就是采用具有专利技术的配备轴向加速电压的四极杆作为反应池,配合使用反应活性强的纯氨气作为反应气,在反应模式下能够彻底消除干扰,保证测试结果的准确度,达到精确评估光刻胶质量的目的。 光刻胶中受干扰元素典型检出能力 元素 检出限(DL/ppt) 背景等效浓度(BEC/ppt)Mg 0.05 0.20 Al 0.07 0.35 Cr 0.32 0.78 Fe 0.26 0.65 轴向加速四极杆通用池技术, 确保质谱干扰的去除 △点击查看大图 曝光动力学研究对于光刻胶的研发异常关键,因为其效能直接决定了制程良品率和生产效率。利用紫外光谱能够监测光刻胶在曝光过程中发生的光化学反应,通过跟踪特定化学键或官能团的变化,研究人员可以评估光刻胶的反应动力学和光化学稳定性。高性能紫外-可见-近红外分光光度计 (辅助建立DILL透光模型) △点击查看大图 为了更加准确原位模拟光刻胶在不同紫外-可见波段下的曝光历程,可采用差示扫描量热分析仪(DSC)和紫外光源联用进行分析,两者的联用,适合用于研究光刻胶的固化动力学过程,为研发更加稳定可靠的新一代无机金属氧化物复合光刻胶提供准确热力学数据支撑。 紫外光-差示扫描量热分析仪 △点击查看大图 在光刻胶配方开发过程中,出色的分析手段将极大帮助研究人员获取反馈信息。单独的手段往往具有局限性,比如热重(TG)没有结构定性能力,因此研究人员往往只能依靠个人的主观经验推测每个分解温度区间所产生组分的化学结构归属,这对于光刻胶配方逆向开发和性能优化等领域的应用存在较大的不确定性。而单独的红外(FTIR)或者气质(GC/MS)均存在单一温度维度测试的局限性,无法有效的还原温度维度或实现原位检测的要求。而采用分析技术的联用,就可以实现设备间的“协同效应,扬长避短”,比如热重引入的温度维度可以结合红外或气质的定性能力,赋予实时分析光刻胶组分随温度的动态逸出过程,做到原位监测、还原真实的反应/分解过程,应用于光刻胶配方开发和环境颗粒物的相互作用研究。 热重/红外/气质(TGA/IR/GC/MS) 联用逸出气体测试平台 △点击查看大图 2 前驱体前驱体是半导体薄膜沉积工艺的主要原材料,在薄膜、光刻、互连、掺杂等半导体制造过程中,前驱体主要应用于气相沉积(包括物理沉积PVD、化学气相沉积CVD和原子气相沉积ALD),以形成符合半导体制造要求的各类薄膜层。此外,前驱体也可用于半导体外延生长、刻蚀、离子注入掺杂和清洗等,是半导体制造的核心材料之一。 前驱体介绍 分类 示例 用途 硅前 驱体 TEOS(正硅酸乙酯)、DIPAS(二异丙胺硅烷)、4MS(四甲基硅烷)等 用于多晶硅/氧化硅/氮化硅薄膜沉积 金属 前驱体 TFMAT(四(二甲基胺基)钛)、TiCl4(四氯化钛)等 用于各类金属化合物薄膜沉积用ICP-MS对前驱体样品中金属杂质分析时,由于样品中的金属元素杂质含量低,稀释倍数受到限制,导致前处理后的溶液样品中总固体溶解含量(TDS)较高,对ICP-MS耐盐能力提出了很高的要求。珀金埃尔默NexION系列ICP-MS采用独特的大锥孔三锥设计(TCI)和90度四极杆离子偏转技术(QID),配合全基体进样系统(AMS),具有更加优异的基体耐受能力,以及更加优异的长期稳定性。 (a)大锥孔三锥设计(TCI) 和90度四极杆离子偏转技术(QID) (b)NexION ICP-MS优异稳定性 (2000 ppm 硅中35元素100ppt) △点击查看大图 前驱体中高基体的硅(Si)或金属(如Ti)也会产生严重的质谱干扰,比如高硅会对磷(P)、钛(Ti)、镍(Ni)等。利用NexION 系列ICP-MS的化学分辨能力,可以很好的实现前驱体中痕量杂质分析。 (a)高硅基体中对相关元素的质谱干扰 (b)NexION ICP-MS 典型受硅基体干扰元素分析 △点击查看大图 3 硅基材料 半导体硅基材料的研发是半导体集成电路发展的核心,集成电路制造技术已进入了后摩尔时代,传统硅基材料在尺寸微缩极限下遇到的关键挑战,是造成集成电路工艺复杂性和系统设计难度显著提升的重要因素。发展新材料(如三代半导体SiC等),探索与硅基技术兼容的新材料、新结构器件集成制造技术,是未来集成电路的重要发展趋势,也是后摩尔时代集成电路发展的主要技术路线之一。 利用晶圆表面分解技术(VPD)与NexION 系列ICP-MS结合,不仅可以对晶圆表面金属杂质分析,也可以对晶圆进行剖面分析。得益于NexION系列ICP-MS出色的性能,每平方原子数检出能力可达105。 (a)硅片经VPD处理后照片 (b)硅片表面金属杂质 分析 (c)掺硼硅片剖面分析 △点击查看大图 配备 MappIR 晶圆分析系统的珀金埃尔默Spectrum 3,不仅可以快速和简易的实现硅基材料中的碳和氧的杂质分析,还可以对涂层、电介质以及外延膜进行测量。 (a)Spectrum 3 FT-IR 和 MappIR 系统 (b)不同工艺硅片 光谱差异比较 (c)硅片中碳和氧分析 △点击查看大图 4 NexION 系列ICP-MS 电子化学品标准操作方法 △点击查看大图
  • 填补国内空白,这项第三代半导体标准今日生效
    氮化镓,是氮和镓的化合物,是一种直接能隙的半导体,自1990年起常用在发光二极管中。此化合物结构类似纤锌矿,硬度很高。氮化镓的能隙很宽,为3.4电子伏特,可以用在高功率、高速的光电元件中,例如氮化镓可以用在紫光的激光二极管,可以在不使用非线性半导体泵浦固体激光器的条件下,产生紫光(405nm)激光。GaN材料系列具有低的热产生率和高的击穿电场,是研制高温大功率电子器件和高频微波器件的重要材料。无规矩不成方圆,只有有了规矩,有了标准,这个世界才变得稳定有序!标准是科学、技术和实践经验的总结。为在一定的范围内获得最佳秩序,对实际的或潜在的问题制定共同的和重复使用的规则的活动,即制定、发布及实施标准的过程,称为标准化。而从今日开始,《氮化镓材料中镁含量的测定 二次离子质谱法》(标准号:GB/T 39144—2020)正式实施。这一标准由全国半导体设备和材料标准化技术委员会(SAC/TC203)与全国半导体设备和材料标准化技术委员会材料分技术委员会(SAC/TC203/SC2)共同提出并归口,并于2020年10月11日发布。本标准起草单位包括国电子科技集团公司第四十六研究所、北京聚睿众邦科技有限公司、东莞市中镓半导体科技有限公司、有色金属技术经济研究院和厦门市科力电子有限公司,主要起草人为马农农、何友琴、陈潇、刘立娜、何?坤、杨素心、闫方亮、杨丽霞、颜建锋、倪青青。这项标准规定了氮化镓材料中镁含量的二次离子质谱测试方法,适用于氮化镓材料中镁含量的定量分析,测定范围为不小于5×1014cm-3。其主要原理为在高真空(真空度优于10-6Pa)条件下,氧离子源产生的一次离子,经过加速、纯化、聚焦后,轰击氮化镓样品表面,溅射出多种粒子。将其中的离子(即二次离子)引出,通过质谱仪将不同质荷比的离子分 开,记录并计算样品中镁与镓的离子计数率之比,利用相对灵敏度因子定量分析并计算出氮化镓材料中的镁含量。氮化镓(GaN)材料中的镁(Mg)浓度的精确测定至关重要GaN材料是研制微电子器件、光电子器件的新型半导体材料,在其单晶材料的研制 过程中,掺杂剂Mg的含量对生长p型GaN有重要影响,所以对Mg浓度的精确测定至关重要。在实际集成电路制造中所需要的绝大多数半导 体材料中都会掺入一定数量的某种原子 ( 杂质) , 以便控制导电类型和导电能力。在高空穴载流子浓度 p 型 GaN 生长过程中,通常会掺入Mg元素。Mg 的掺杂量对高空穴载流子浓度p型GaN特性起决定作用,当Mg的掺杂量较小时,GaN∶Mg单晶膜呈现n型导电,得不到p型层 当Mg的掺杂量过大时,会形成与Mg有关的深施主,由于深施主的 补偿作用,得不到高空穴浓度的p型GaN。所以在GaN单晶的研制过程中,需要对掺杂剂Mg元素进行跟踪测试。 对于GaN中Mg元素的测试,此前并无官方发布的标准测试方法。由于GaN化学稳定性好,在室温下不溶于水、酸和碱,在热的碱溶液中以非常缓慢的速度溶解,而且有些GaN晶体是生长在其他衬底上的,所以不宜采用化学溶样法测试GaN晶体中杂质的含量。而在半导体材料中,杂质足以影响材料的性能,所以需要寻求一种能直接采用固体样品进样而且检测限足够低的测试方法。目前能满足这两个条件的只有二次离子质谱(SIMS)法和辉光放电质谱(GDMS)法,而GDMS法存在一定的弊端:GDMS法的扇形磁场检测器按原子质量顺序检测的特性使其在快速分析时受到限制,每个样品检测时间较长;虽然GDMS能在没有标样的情况下直接使用相对灵敏度因子进行定量,而不同仪器的元素相对灵敏度因子值是不 一样的,使检测结果不准确,所以要准确定量测定被测元素的含量,必须要有与样品一致的标准样品,以获得该类样品被测元素的相对灵敏度因子值,从而准确测定样品的杂质含量,但标准样品很难获得。SIMS法是一种分析固体材料表面组分和杂质的方法。它采用一次离子束轰击样品,分析溅射产生的正负二次离子,可以对样品进行质谱分析、深度剖析或二次离子成像分析。SIMS 不仅具有较宽的动态检测范围,而且具有很高的元素检测灵敏度以及在表面和纵深两个方向上的高空间分辨能力。最重要的是,SIMS法是一种非常直接的分析手段,可以直接测量掺杂元素的含量,基本上不受晶体掺杂情况的影响,因此成为现代微电子学中半导体材料质量控制不可缺少的分析工具。中电科领衔起草相关标准针对国家标准在氮化镓材料中镁含量测定方面的空白,根据《国家标准委关于下达2017年第四批国家标准制修订计划的通知》(国标委综合[2017]128号)的要求,由中国电子科技集团公司第四十六研究所负责制定了《氮化镓材料中镁含量的测定二次离子质谱法》,计划编号为20173544T469,要求完成时间2019年。据了解,当时中国电子科技集团公司第四十六研究所拥有两台二次离子质谱仪,是国内唯一家能够提供全面的二次离子质谱测试技术的单位,有丰富的操作、试验经验,可以为《氮化镓材料中镁含量的测定二次离子质谱法》标准的制定提供充分的验证报告。公司拥有批高素质的专业人才,曾制(修)订定二次离子质谱相关的标准10余项,有丰富的制(修)订标准的经验。2017年12月,本标准起草单位(中国电子科技集团公司第四十六研究所)组建了本标准起草工作组:起草工作组讨论并形成了制定本标准的工作计划及任务分工。2018年3月,起草工作组完成标准《氮化镓材料中镁含量的测定二次离子质谱法》的讨论稿2018年4月24日,全国半导体设备和材料标准化技术委员会材料分技术委员会在北京主持召开了《氮化镓材料中镁含量的测定二次离子质谱法》的第一次工作会(讨论会),提出了“前言中删除‘本标准为首次制定’等共9条修改意见”,形成了本标准的征求意见稿。2018年11月由全国半导体材料标准化分技术委员会组织,在福建省福州市召开《氮化镓材料中镁含量的测定二次离子质谱法》标准第二次工作会议(预审会)与会专家对标准资料从标准技术内容和文本质量等方面进行了充分的讨论。提出了扰因素5.1-5.7中表述应统一,描述形式应进行修改,如:5.1改为镁离子可能影响镁浓度的测试”等4条修改意见,形成了送审稿。2020年10月11日,《氮化镓材料中镁含量的测定二次离子质谱法》标准正式发布,并于今日实施生效。有奖调研仪器信息网为了更好地服务半导体行业用户,特邀请您参与问卷调研,麻烦大家动动小手完成问卷,参与即得10元话费!活动结束还将择优选择10名认真填写用户送出50元话费!!!http://a72wfu5hktu19jtx.mikecrm.com/zuXBhOy
  • 半导体检测缺陷,迈出重要一步
    将更智能、更强大的电子元件塞进不断缩小的设备中的一个挑战是开发工具和技术,以越来越高的精度分析构成它们的材料。密歇根州立大学的物理学家在这方面迈出了期待已久的一步,采用了一种结合高分辨率显微镜和超快激光的方法。这项技术在《自然光子学》杂志上进行了描述,使研究人员能够以无与伦比的精度发现半导体中的失配原子。半导体物理学将这些原子称为“缺陷”,听起来像是负面的,但它们通常是故意添加到材料中的,对于当今和未来的半导体性能至关重要。“这对于具有纳米级结构的组件特别相关,”密歇根州立大学的实验物理学杰里科文讲席教授兼新研究的负责人泰勒科克说。这包括像计算机芯片这样的东西,它们通常使用具有纳米级特征的半导体。而且,研究人员正致力于通过工程材料将纳米级架构发挥到极致,这些材料的厚度只有一个原子。“这些纳米材料是半导体的未来,”科克说,他也是密歇根州立大学物理与天文学系的超快太赫兹纳米显微镜实验室的负责人。“当你有纳米级电子产品时,确保电子能够按照你希望的方式移动非常重要。”缺陷在电子运动中起着重要作用,这就是为什么像科克这样的科学家渴望准确了解它们的位置和行为。科克的同行们很高兴得知,他团队的新技术将让他们轻松获取这些信息。“我的一位同事说,‘我希望你们出去庆祝了,’”科克说。主导这一项目的Vedran Jelic是科克团队的一名博士后研究员,现在在加拿大国家研究委员会工作,是这份新报告的第一作者。研究团队还包括博士生Stefanie Adams、Eve Ammerman和Mohamed Hassan,以及本科生研究员Kaedon Cleland-Host。科克补充说,使用适当的设备,这项技术实施起来很简单,他的团队已经在将其应用于像石墨烯纳米带这样厚度仅一个原子的材料上。“我们有许多开放的项目,正在使用这项技术研究更多的材料和更具异国情调的材料,”科克说。“我们基本上将其纳入我们所做的一切,作为一种标准技术使用。”轻触目前已经有工具,特别是扫描隧道显微镜(STM),可以帮助科学家发现单原子缺陷。与许多人从高中科学课上认出的显微镜不同,STM不使用镜头和灯泡来放大物体。相反,STM使用原子级尖端扫描样品表面,类似于唱片播放器上的唱针。但STM的尖端不会触及样品表面,只是足够接近,使电子可以在尖端和样品之间跳跃或隧穿。STM记录电子跳跃的数量和位置,以及其他信息,以提供关于样品的原子级信息(因此,科克的实验室称之为纳米显微镜而非显微镜)。但仅靠STM数据并不足以清晰地解析样品中的缺陷,尤其是在镓砷这种在雷达系统、高效太阳能电池和现代电信设备中应用的重要半导体材料中。在他们的最新出版物中,科克和他的团队专注于镓砷样品,这些样品故意掺入了硅缺陷原子,以调整电子在半导体中的运动方式。“硅原子对电子来说基本上就像一个深坑,”科克说。尽管理论家们已经研究了这种类型的缺陷几十年,但实验者直到现在还无法直接检测到这些单个原子。科克和他团队的新技术仍然使用STM,但研究人员还直接在STM的尖端照射激光脉冲。这些脉冲由太赫兹频率的光波组成,意味着它们每秒钟振动一万亿次。最近,理论家们表明,这与硅原子缺陷在镓砷样品中来回振动的频率相同。通过结合STM和太赫兹光,密歇根州立大学团队创造了一种对缺陷具有无与伦比灵敏度的探针。当STM尖端接触到镓砷表面上的硅缺陷时,团队的测量数据中出现了一个突然、强烈的信号。当研究人员将尖端移到离缺陷一个原子远的地方时,信号消失了。“这里有一个人们已经追寻了四十多年的缺陷,我们看到它像钟一样响,”科克说。“起初,这很难相信,因为它如此明显,”他继续说。“我们不得不以各种方式测量它,以确定这是真实的。”一旦他们确信信号是真实的,就很容易解释,因为已经有数十年的理论研究对其进行了彻底的表征。“当你发现这样的东西时,已经有数十年的理论研究详细描述它,这真的很有帮助,”Jelic说,他与科克一样,也是这篇新论文的通讯作者。尽管科克的实验室在这一领域处于前沿,但世界各地的研究小组目前也在将STM和太赫兹光结合起来。还有各种其他材料可以从这种技术中受益,用于超出检测缺陷的应用。现在他的团队已经与社区分享了他们的方法,科克对未来的发现感到兴奋。
  • 美国半导体联盟成立,半导体设备国产化迫在眉睫
    近日,包括美国、欧洲、日本、韩国、中国台湾地区等地的64家企业宣布成立美国半导体联盟(SIAC),几乎覆盖整个半导体产业链,包括亚马逊、苹果、AT&T、思科、通用电气、谷歌、威瑞森等科技巨头,AMD、亚德诺半导体、博通、英伟达、高通等芯片设计公司,格芯、IBM、英特尔、镁光等芯片制造商,以及应用材料、楷登电子、新思科技等半导体上游IP、电子设计自动化(EDA)软件和设备供应商等等。SIAC成员联合致信美国众议院议长佩洛西、参议院多数党领袖舒默、参议院少数党领袖麦康奈尔、众议院少数党领袖麦卡锡。信中提到,“我们呼吁国会领导人拨款500亿美元用于国内芯片制造激励和研究计划。SIAC的使命是推动促进美国半导体制造和研究的联邦政策,以加强美国的经济、国家安全和关键基础设施”。除了呼吁为美国芯片制造拨款的目的外,有媒体认为,SIAC或将成为新的“排华“组织,意欲把中国排在半导体产业链之外,加快芯片行业技术迭代,拉开与中国的差距。SIAC的成立或将加剧中美半导体产业对立。中美两国作为全球最大的两个经济体,和则两利,斗则两败,由于美国对中国半导体产业的封锁,已导致全球缺“芯”问题严重。面对美国的“拉帮结派”,中国半导体产业也需要抱团取暖才能与之相抗。实际上,早在今年一月份,华为海思、大唐半导体、华大半导体等90家中企联合提出“筹建全国集成电路标准化委员会”的申请。委员单位名单涵盖了设计、制造、封测、材料、设备等半导体产业链企业。随着美国对中国半导体产业的“团战”展开,实现自主可控的半导体产业链已迫在眉睫。我国半导体产业的“卡脖子”最严重的部分就是半导体制造,而半导体制造的关键在于半导体设备和材料。要在中美对抗中实现“破局”,关键在于半导体仪器设备和材料的技术突破。美国联合欧、日、韩等国也意味着,只是实现集成电路制造的“去美化”远无法实现自主可控。美国的半导体“排华”政策将加速国内集成电路制造企业的国产化替代,未来国产半导体仪器设备将迎来机遇。随着国产替代的加速,相关技术研发也将为设备研发带来反馈,加快技术迭代速度。短期来看,中国半导体产业将面临重大挫折,但长远来看,将加快中国突破芯片技术,实现产业链的自主可控。
  • 芯联集成“半导体器件的制备方法及半导体器件”专利获授权
    天眼查显示,芯联集成电路制造股份有限公司近日取得一项名为“半导体器件的制备方法及半导体器件”的专利,授权公告号为CN118073206B,授权公告日为2024年7月23日,申请日为2024年4月22日。背景技术半导体器件中的金属氧化物半导体(Metal Oxide Semiconductor,MOS)器件,因具有开关速度快、损耗小、可靠性高等优点,在诸如电源控制和驱动电路等领域得到越来越广泛的应用。例如,金属氧化物半导体器件中的横向扩散金属氧化物半导体(LaterallyDiffused Metal Oxide Semiconductor,LDMOS)器件,具有耐高压,大电流驱动能力以及低功耗的优点,而且容易与互补金属氧化物半导体器件工艺兼容,因此常用于射频功率电路和电源控制电路,以满足耐高压以及实现功率控制等方面的要求。功率集成电路高电压、大电流的特点常常要求金属氧化物半导体器件具有高击穿电压和低比导通电阻。场板技术是一种广泛应用的用于提高金属氧化物半导体器件的击穿电压的技术,但是目前结合场板技术的金属氧化物半导体器件的制作工艺较为复杂。因此如何在较好地提升金属氧化物半导体器件的击穿电压的同时,简化制作工艺是目前亟需解决的问题。发明内容本申请实施例涉及一种半导体器件的制备方法及半导体器件,属于半导体技术领域。半导体器件的制备方法包括:提供半导体材料层,半导体材料层中包括第一器件区,第一器件区中包括漂移区和体区;在部分漂移区的表面形成场氧化层;形成从场氧化层的表面延伸至漂移区的内部的至少一个第一沟槽;形成覆盖第一沟槽的内壁的第一介质层;在部分体区的表面形成栅介质层;形成填充于第一沟槽并延伸至部分场氧化层和栅介质层的表面的导电层;其中,位于第一沟槽中的导电层构成第一场板;位于第一场板和场氧化层的表面的导电层构成第二场板;位于栅介质层的表面的导电层构成栅电极层。如此,在有效提升器件击穿电压的同时使得器件的制备工艺较为简化。
  • 十五种分析仪器助力半导体工艺检测
    p style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 半导体器件生产中,从半导体单晶片到制成最终成品,须经历数十甚至上百道工序。为了确保产品性能合格、稳定可靠,并有高的成品率,根据各种产品的生产情况,对所有工艺步骤都要有严格的具体要求。因而,在生产过程中必须建立相应的系统和精确的监控措施,首先要从半导体工艺检测着手。 /span /p p style=" text-align: justify text-indent: 2em " 半导体工艺检测的项目繁多,内容广泛,方法多种多样,可粗分为两类。第一类是半导体晶片在经历每步工艺加工前后或加工过程中进行的检测,也就是半导体器件和集成电路的半成品或成品的检测。第二类是对半导体单晶片以外的原材料、辅助材料、生产环境、工艺设备、工具、掩模版和其他工艺条件所进行的检测。第一类工艺检测主要是对工艺过程中半导体体内、表面和附加其上的介质膜、金属膜、多晶硅等结构的特性进行物理、化学和电学等性质的测定。其中许多检测方法是半导体工艺所特有的。 /p p style=" text-align: justify text-indent: 2em " 工艺检测的目的不只是搜集数据,更重要的是要把不断产生的大量检测数据及时整理分析,不断揭示生产过程中存在的问题,向工艺控制反馈,使之不致偏离正常的控制条件。因而对大量检测数据的科学管理,保证其能够得到准确和及时的处理,是半导体工艺检测中的一项重要关键。同时半导体检测也涉及大量的科学仪器,针对于此,对一些半导体检测的仪器进行介绍。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/537.html" target=" _self" 椭偏仪 /a /h3 p style=" text-align: justify text-indent: 2em " 椭偏仪是一种用于探测薄膜厚度、光学常数以及材料微结构的光学测量仪器。由于测量精度高,适用于超薄膜,与样品非接触,对样品没有破坏且不需要真空,使得椭偏仪成为一种极具吸引力的测量仪器。 /p p style=" text-align: justify text-indent: 2em " 目前,椭偏仪是测量透明、半透明薄膜厚度的主流方法,它采用偏振光源发射激光,当光在样本中发生反射时,会产生椭圆的偏振。椭偏仪通过测量反射得到的椭圆偏振,并结合已知的输入值精确计算出薄膜的厚度,是一种非破坏性、非接触的光学薄膜厚度测试技术。在晶圆加工中的注入、刻蚀和平坦化等一些需要实时测试的加工步骤内,椭偏仪可以直接被集成到工艺设备上,以此确定工艺中膜厚的加工终点。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/1677.html" target=" _self" span style=" text-indent: 2em " 四探针测试仪 /span /a /h3 p style=" text-align: justify text-indent: 2em " 四探针测试仪是用来测量半导体材料(主要是硅单晶、锗单晶、硅片)电阻率,以及扩散层、外延层、ITO导电箔膜、导电橡胶方块电阻等的测量仪器。 /p p style=" text-align: justify text-indent: 2em " 测量半导体电阻率方法的测量方法主要根据掺杂水平的高低,半导体材料的电阻率可能很高。有多种因素会使测量这些材料的电阻率的任务复杂化,包括与材料实现良好接触的问题。特殊的探头设计用于测量半导体晶片和半导体棒的电阻率。这些探头通常由诸如钨的硬质金属制成,并接地到探头。在这种情况下,接触电阻很高,必须使用四点共线探针或四线绝缘探针。两个探针提供恒定电流,另外两个探针测量整个样品一部分的电压降。通过使用所测电阻的几何尺寸来计算电阻率。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 薄膜应力测试仪 /span br/ /h3 p style=" text-align: justify text-indent: 2em " 薄膜应力作为半导体制程、MEMS微纳加工、光电薄膜镀膜过程中性能测试的必检项,其测试的精度、重复性、效率等因素为业界所重点关注。对应产品目前业界有两种主流技术流派:1)以美国FSM、KLA、TOHO为代表的双激光波长扫描技术(线扫模式),尽管是上世纪90年代技术,但由于其简单高效,适合常规Fab制程中进行快速QC,至今仍广泛应用于相关工厂。2)以美国kSA为代表的MOS激光点阵技术,抗环境振动干扰,精于局部区域内应力测量,这在研究局部薄膜应力均匀分布具有特定意义。线扫模式主要测量晶圆薄膜整体平均应力,监控工序工艺的重复性有意义。但在监控或精细分析局部薄膜应力,激光点阵技术具有特殊优势,比如在MEMS压电薄膜的应力和缺陷监控。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 热波系统 /span br/ /h3 p style=" text-align: justify text-indent: 2em " 热播系统主要用来测量掺杂浓度。热波系统通过测量聚焦在硅片上同一点的两束激光在硅片表面反射率的变化量来计算杂质粒子的注入浓度。在该系统内,一束激光通过氩气激光器产生加热的波使硅片表面温度升高,热硅片会导致另一束氦氖激光的反射系数发生变化,这一变化量正比于硅片中由杂质粒子注入而产生的晶体缺陷点的数目。由此,测量杂质粒子浓度的热波信号探测器可以将晶格缺陷的数目与掺杂浓度等注入条件联系起来,描述离子注入工艺后薄膜内杂质的浓度数值。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " ECV设备 /span /h3 p style=" text-align: justify text-indent: 2em " ECV又名扩散浓度测试仪,结深测试仪等,即电化学CV法测扩散后的载流子浓度分布。电化学ECV可以用于太阳能电池、LED等产业,是化合物半导体材料研究或开发的主要工具之一。电化学ECV主要用于半导体材料的研究及开发,其原理是使用电化学电容-电压法来测量半导体材料的掺杂浓度分布。电化学ECV(CV-Profiler, C-V Profiler)也是分析或发展半导体光-电化学湿法蚀刻(PEC Etching)很好的选择。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 少子寿命测试仪 /span /h3 p style=" text-align: justify text-indent: 2em " 载流子寿命就是指非平衡载流子的寿命。而非平衡载流子一般也就是非平衡少数载流子(因为只有少数载流子才能注入到半导体内部、并积累起来,多数载流子即使注入进去后也就通过库仑作用而很快地消失了),所以非平衡载流子寿命也就是指非平衡少数载流子寿命,即少数载流子寿命。例如,对n型半导体,非平衡载流子寿命也就是指的是非平衡空穴的寿命。 /p p style=" text-align: justify text-indent: 2em " 少子寿命是半导体材料和器件的重要参数。它直接反映了材料的质量和器件特性。能够准确的得到这个参数,对于半导体器件制造具有重要意义。少子寿命测试仪可以直接获得长硅的质量参数。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/34.html" target=" _self" 拉曼光谱 /a /h3 p style=" text-align: justify text-indent: 2em " 拉曼光谱是一种散射光谱。拉曼光谱分析法是基于印度科学家C.V.Raman在1928年所发现的拉曼散射效应,对与入射光频率不同的散射光谱进行分析以得到分子振动、转动方面信息并应用于分子结构研究的一种分析方法。 /p p style=" text-align: justify text-indent: 2em " 拉曼光谱在材料科学中是物质结构研究的有力工具,在相组成界面、晶界等课题中可以做很多工作。半导体材料研究中,拉曼光谱可测出经离子注入后的半导体损伤分布,可测出半磁半导体的组分,外延层的质量,外延层混品的组分载流子浓度。 span style=" text-indent: 2em " & nbsp /span /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/31.html" target=" _self" 红外光谱仪 /a /h3 p style=" text-align: justify text-indent: 2em " 红外光谱仪是利用物质对不同波长的红外辐射的吸收特性,进行分子结构和化学组成分析的仪器。红外光谱仪通常由光源,单色器,探测器和计算机处理信息系统组成。根据分光装置的不同,分为色散型和干涉型。对色散型双光路光学零位平衡红外分光光度计而言,当样品吸收了一定频率的红外辐射后,分子的振动能级发生跃迁,透过的光束中相应频率的光被减弱,造成参比光路与样品光路相应辐射的强度差,从而得到所测样品的红外光谱。 /p p style=" text-align: justify text-indent: 2em " 红外光谱法操作简单,不破坏样品,使其在半导体分析的应用日趋广泛。半导体材料的红外光谱揭示了晶格吸收、杂质吸收和自由载流子吸收的情况,直接反映了半导体的许多性质,如确定红外透过率和结晶缺陷,监控外延工艺气体组分分布,测载流子浓度,测半导体薄层厚度和衬底表面质量。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " 二次粒子质谱 /span /h3 p style=" text-align: justify text-indent: 2em " 二次粒子质谱是借助入射粒子的轰击功能,将样品表面原子溅出,由质谱仪测定二次粒子质量,根据质谱峰位的质量数,可以确定二次离子所属的元素和化合物,从而可精确测定表面元素的组成。这是一种常用的表面分析技术。其特点是高灵敏度和高分辨率。 /p p style=" text-align: justify text-indent: 2em " 利用二次离子质谱对掺杂元素的极高灵敏度的特点,对样品的注入条件进行分析,在生产中可以进行离子注入机台的校验,并确定新机台的可以投入生产。同时,二次离子质谱对于CVD沉积工艺的质量监控尤其是硼磷元素的分布和生长比率等方面有不可替代的作用。通过二次离子质谱结果的分析帮助CVD工程师进行生长条件的调节,确定最佳沉积工艺条件。对于杂质污染的分析,可以对样品表面结构和杂质掺杂情况进行详细了解,保证芯片的有源区的洁净生长,对器件的电性质量及可靠性起到至关重要的作用。对掺杂元素退火后的形貌分析研究发现通过改变掺杂元素的深度分布,来保证器件的电学性能达到设计要求。可以帮助LTD进行新工艺的研究对于90nm/65nm/45nm新产品开发起到很大作用。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " X射线光电子能谱仪 /span br/ /h3 p style=" text-align: justify text-indent: 2em " X射线光电子能谱仪以X射线为激发源。辐射固体表面或气体分子,将原子内壳层电子激发电离成光电子,通过分析样品发射出来的具有特征能量的光电子,进而分析样品的表面元素种类、化学状态和电荷分布等信息,是一种无损表面分析技术。 /p p style=" text-align: justify text-indent: 2em " 这种技术分析范围较宽,原则上可以分析除氢以外的所有元素,但分析深度较浅,大约在25~100 Å 范围,不过其绝对灵敏度高,测量精度可达10 nm左右,主要用于分析表面元素组成和化学状态,原子周围的电子密度,特别是原子价态及表面原子电子云和能级结构。 /p h3 style=" text-align: justify text-indent: 2em " X射线衍射 /h3 p style=" text-align: justify text-indent: 2em " 当一束单色X射线入射到晶体时,由于晶体是由原子规则排列成的晶胞组成,这些规则排列的原子间距离与入射X射线波长有X射线衍射分析相同数量级,故由不同原子散射的X射线相互干涉,在某些特殊方向上产生强X射线衍射,衍射线在空间分布的方位和强度,与晶体结构密切相关,每种晶体所产生的衍射花样都反映出该晶体内部的原子分配规律。这就是X射线衍射的基本原理。 /p p style=" text-align: justify text-indent: 2em " 半导体制造中的大部分材料是多晶材料,比如互连线和接触孔。XRD能够将多晶材料的一系列特性量化。这其中最重要的特性包括多晶相(镍单硅化物,镍二硅化物),平均晶粒大小,晶体织构,残余应力。 /p h3 style=" text-align: justify text-indent: 2em " 阴极荧光光谱 /h3 p style=" text-align: justify text-indent: 2em " 阴极荧光谱是利用电子束激发半导体样品,将价带电子激发到导带,之后由于导带能量高不稳定,被激发电子又重新跳回价带,并释放出能量E≤Eg(能隙)的特征荧光谱。CL谱是一种无损的分析方法,结合扫描电镜可提供与形貌相关的高空间分辨率光谱结果,是纳米结构和体材料的独特分析工具。利用阴极荧光谱,可以在进行表面形貌分析的同时,研究半导体材料的发光特性,尤其适合于各种半导体量子肼、量子线、量子点等纳米结构的发光性能的研究。 /p p style=" text-align: justify text-indent: 2em " 例如,对于氮化镓单晶,由于阴极萤光显微镜具有高的空间分辨率并且具有无损检测的优点,因此将其应用于位错密度的检测已经是行业内广泛采用的方法。目前也制定了相应的标准。 /p h3 style=" text-align: justify text-indent: 2em " a href=" https://www.instrument.com.cn/zc/1016.html" target=" _self" 轮廓仪 /a /h3 p style=" text-align: justify text-indent: 2em " 轮廓仪是一种两坐标测量仪器,仪器传感器相对被测工件表而作匀速滑行,传感器的触针感受到被测表而的几何变化,在X和Z方向分别采样,并转换成电信号,该电信号经放大和处理,再转换成数字信号储存在计算机系统的存储器中,计算机对原始表而轮廓进行数字滤波,分离掉表而粗糙度成分后再进行计算,测量结果为计算出的符介某种曲线的实际值及其离基准点的坐标,或放大的实际轮廓曲线,测量结果通过显示器输出,也可由打印机输出。 /p p style=" text-align: justify text-indent: 2em " 而利用先进的3D轮廓仪可以实现对硅晶圆的粗糙度检测、晶圆IC的轮廓检测、晶圆IC减薄后的粗糙度检测。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em font-size: 16px " AOI (自动光学检测) /span br/ /h3 p style=" text-align: justify text-indent: 2em " AOI的中文全称是自动光学检测,是基于光学原理来对焊接生产中遇到的常见缺陷进行检测的设备。AOI是新兴起的一种新型测试技术,但发展迅速,很多厂家都推出了AOI测试设备。当自动检测时,机器通过摄像头自动扫描PCB,采集图像,测试的焊点与数据库中的合格的参数进行比较,经过图像处理,检查出PCB上缺陷,并通过显示器或自动标志把缺陷显示/标示出来,供维修人员修整。 /p p style=" text-align: justify text-indent: 2em " 运用高速高精度视觉处理技术自动检测PCB板上各种不同贴装错误及焊接缺陷。PCB板的范围可从细间距高密度板到低密度大尺寸板,并可提供在线检测方案,以提高生产效率,及焊接质量。通过使用AOI作为减少缺陷的工具,在装配工艺过程的早期查找和消除错误,以实现良好的过程控制。早期发现缺陷将避免将坏板送到随后的装配阶段,AOI将减少修理成本将避免报废不可修理的电路板。 /p h3 style=" text-align: justify text-indent: 2em " span style=" text-indent: 2em " ATE测试机 /span /h3 p style=" text-align: justify text-indent: 2em " 广义上的IC测试设备我们都称为ATE(AutomaticTest Equipment),一般由大量的测试机能集合在一起,由电脑控制来测试半导体芯片的功能性,这里面包含了软件和硬件的结合。 /p p style=" text-align: justify text-indent: 2em " 在元器件的工艺流程中,根据工艺的需要,存在着各种需要测试的环节。目的是为了筛选残次品,防止进入下一道的工序,减少下一道工序中的冗余的制造费用。这些环节需要通过各种物理参数来把握,这些参数可以是现实物理世界中的光,电,波,力学等各种参量,但是,目前大多数常见的是电子信号的居多。ATE设计工程师们要考虑的最多的,还是电子部分的参数比如,时间,相位,电压电流,等等基本的物理参数。就是电子学所说的,信号处理。 /p p style=" text-align: justify text-indent: 2em " 此外,原子力显微镜、俄歇电子能谱、电感耦合等离子体质谱仪、X光荧光分析、气相色谱等都可以用于半导体检测。而随着半导体制程工艺的进步,工艺过程中微小的沾污、晶格缺陷等都可能导致电路的失效等,半导体的工艺检测也凸显的越来越重要。 /p
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制