当前位置: 仪器信息网 > 行业主题 > >

半导体芯片

仪器信息网半导体芯片专题为您整合半导体芯片相关的最新文章,在半导体芯片专题,您不仅可以免费浏览半导体芯片的资讯, 同时您还可以浏览半导体芯片的相关资料、解决方案,参与社区半导体芯片话题讨论。

半导体芯片相关的资讯

  • 芯片法案:它将如何影响中国和美国的半导体行业?
    ●美国的法案旨在提高美国对中国的竞争力,特别是在半导体行业的竞争力,给北京的长期计划蒙上了阴影,削弱了中国的芯片自给自足驱动力。●美国显然也在考虑禁止将美国芯片制造设备运往中国制造先进NAND芯片的工厂。●即使是美国公司,由于这种艰难的技术脱钩和禁止国内半导体公司向中国客户销售,预计也会失去其全球市场份额和收入。华盛顿一直在不懈地加大力度,遏制中国芯片产业的发展,中国芯片产业依靠进口技术发展壮大。8月9日,拜登总统签署《芯片法案》——补贴美国半导体行业,使其与中国更具竞争力。预计数十亿美元的努力将削弱中国在全球半导体供应链中的作用,但在影响会有多大呢?该法案于两周前通过,其中包括超过520亿美元的半导体制造和研究资金,拜登政府已将其列为美国与北京竞争的当务之急。虽然芯片制造商普遍欢迎华盛顿期待已久的通过一项为美国半导体行业提供资金的法案,但他们在两者之间处于困境,因为接受这些补贴可能会束缚他们未来在中国的投资。这意味着,像韩国三星电子(Samsung Electronics)和SK海力士(SK Hynix)这样的芯片巨头,在英国和中国都有业务,将受到限制,无法向在中国经营的工厂运送新技术工具。三星和SK海力士控制着全球一半以上的NAND闪存芯片市场,近几十年来在中国投入巨资,生产对包括苹果、亚马逊、Facebook所有者Meta和谷歌在内的客户至关重要的芯片。除了计算机和手机,这些芯片还用于需要数字数据存储的电动汽车等产品中。简而言之,成为Chips基金的接收者将阻止三星和SK海力士升级为全球客户提供服务的工厂。自半导体法案在过去两年中浮出水面以来,中国本身并没有停滞不前。中国驻华盛顿大使馆甚至表示,中国“坚决反对”它,称其让人想起“冷战心态”。减缓中国及其半导体产业崛起的所有努力除了芯片法案,华盛顿还一直在推动所谓的Chip 4联盟 - 美国设想的包括韩国,日本和台湾在内的伙伴关系 - 以排除中国。与此同时,美国官员也在游说荷兰芯片设备巨头ASML停止向中国的晶圆厂出售更多的光刻系统。甚至最近的更新表明,美国正在考虑禁止将美国芯片制造设备运往中国制造先进NAND芯片的工厂,这将是美国首次瞄准存储芯片行业。就在该报告发布几天前,包括参议院多数党领袖查克舒默(Chuck Schumer)在内的美国参议员写信给美国商务部长吉娜雷蒙多(Gina Raimondo),要求将长江存储器技术公司(YMTC)等中国芯片制造商列入美国贸易黑名单。与2020年12月被列入美国实体名单的中芯国际不同,自2016年成立以来一直与华盛顿保持相对良好关系的YMTC未被列入任何美国贸易黑名单。就背景而言,有关潜在禁令的讨论正值YMTC一直在加大其在武汉的第二家制造工厂的建设力度,以提高产量并提高其生产水平。据日经亚洲报道,该公司于6月开始在新的芯片工厂安装设备,最早可能在2023年生产196层3D NAND闪存 。不幸的是,与中国大多数其他芯片制造商一样,尽管中国推动了技术独立,但这家中国存储芯片巨头仍然严重依赖进口芯片制造设备。截至今年7月,YMTC的制造设备中只有18%来自国内公司,中信证券首席电子分析师徐涛在最近的一份研究报告中表示。根据行业研究公司Yole Development的数据,YMTC去年NAND闪存芯片的全球市场份额为5%,到2027年有望超过10%。媒体报道甚至表明,华盛顿通常正在推动对中国的更严格的出口法规,涵盖制造14纳米及以下芯片所需的设备。这样的举动将使中国顶级芯片制造商半导体制造国际公司(SMIC)更难扩展到先进的芯片制造领域。到目前为止,甚至在《芯片法案》颁布之前,中国的半导体产业就已经显示出蓬勃发展的迹象,这让拜登政府怀疑他们应对其增长的努力是否奏效。根据行业机构Semi提供的数据,2021年中国来自海外供应商的芯片制造设备订单增长了58%,使其成为这些产品连续第二年的最大市场。“请记住,中国超过40%的设备支出是由跨国公司在那里运营的设施,以生产更接近大型合同装配基地的工厂,”SEMI负责人Ajit Manocha说。“此外,中国铸造厂的绝大部分装机容量都用于落后技术。中国连续两年成为芯片制造设备的最大买家。资料来源:Bloomberg & SEMI公平地说,中国公司并不是唯一一个失败的公司。波士顿咨询集团(BCG)估计,如果华盛顿采取硬性技术脱钩并完全禁止国内半导体公司向中国客户销售产品,美国公司将失去18%的全球市场份额和37%的收入,从而导致15,000至40,000个高技能国内工作岗位的损失。相比之下,如果华盛顿不扩大现有的实体清单出口限制,美国只会损失约8%的全球市场份额和16%的收入。然而,对于中国来说,脱钩的成本甚至更高,中国的生产商完全依赖进口由ASML和应用材料公司等外国公司设计的电子设计自动化(EDA)工具和半导体制造设备(SME)。
  • 闲聊半导体行业和芯片人的“黑话”(上)
    2000年入行,总觉得自己对“电镜”的认知再正常不过了,直到接触了半导体行业和芯片的量测,才知道在这个电镜的细分领域,早已是独立王国,“自成一体”了,这个“王国”的特征就是有了自己的“行话语言”;对于不太熟悉这些行话的半导体小白,一开始就跟听“黑话”没什么两样。从“正常“电镜人的讲话入手,带点粒子束显微仪器Charged Particle Microscopy和设备中的以电子束ebeam做光源的,可以做显微成像和显微分析,叫Microimaging & Microanalysis;这要是到了半导体的Fab就要讲“黑话”了:在线检测关键线宽Critical Dimension的电镜要叫“Metrology”,对应的专用设备叫“CD-SEM”;离线检测wafer缺陷的Defect要叫“Inspection”,对应的专用设备叫”EBI“;最后还要专门做最后的缺陷复检叫“Review”,对应的专用设备叫”Review-SEM“或”EBR“。这里提到的“Fab”里的是Fabrication的缩写,正常讲是"加工"或"制造"的意思,和Manufacture一样;到了半导体的Fab就特指“晶圆制造厂”了,就是制造集成电路IC Integrated Circuit的厂子,其中制程,又叫技术节点、或工艺节点Technology Node高的俗称就叫芯片了;所以我们经常听到的芯片,就是一种高制程的集成电路了;Fab就是这个制造流程的前道工序发生的地方,属于“Foundry”,就是我们常说的芯片代工厂了,也就是接受了客户委托,生产客户自有权利的芯片产品的厂子了;具体点说,就是客户提供光罩Mask,又叫光掩模,母版,交由Foundry来生产制造;造好了的wafer就叫Chip,再拿去切割Dicing、封装Packaging、和测试Testing,最后出来的最小销售单位就是芯片了;拥有芯片自主产权的公司Fabless,将成品出售给客户,并向Foundry支付代工费用;这种纯粹代工,不涉及销售的方式在国际间较通常的称呼就叫硅代工Silicon Foundry;而在另一端,只做设计和销售的公司不做Fab,所以叫Fabless,拥有芯片的IP Intellectual Property;或者你财大气粗,从芯片设计到制造、封装,直到最后销售,什么都包圆的,就叫IDM Integrated Device Manufacture了;简单可以理解为:Fabless+ Foundry=IDM。我们知道,晶圆和wafer是一码事,尚未被“刻”的原材料wafer黑话又叫“大硅片“;做半导体材料的各个分支里,把沙子变成硅单晶棒的工序,黑话叫”拉棒“,再磨外圆、切片、倒角、打磨、进扩散炉,做成12”,或8英寸或6 Inches的wafer,送进Foundry里的Fab厂;Foundry里有精密的各类前道加工设备,加上细心的作业,最后出来的Chip才能达到艺术品一样的品质;Fab在Foundry内部也叫"晶圆区",如进去"Fab"之前须穿上防尘衣,等等;拜登一行在520刚穿着西装参观了三星的Fab,让人大跌眼镜;行家的解读是至少三个意思:一是给LAM和KLA打了广告;二是AMAT总是妄想和TEL合并之后迁都荷兰,这次特意让AMAT一面都不漏,给它提个醒,敲打一下;三是在最先进的存储产线居然不穿净化服,肯定人走了之后要花时间重新除尘,如果不是摆拍,三星产能肯定受到影响,正好借机宣布下一轮涨价;一趟政治意味浓重的参观又带出了一堆“黑话”,这次的都跟半导体设备厂家有关:AMAT就是Applied Material,又叫应用材料;LAM是“Lam Research”,又叫泛林半导体;KLA就是“KLA-Tencor”,又叫科磊;TEL就是“Tokyo Electron Limited”,又叫东京电子。作为半路出家的半导体人,好不容易把上面这些“黑话”掰扯清楚了,哪知道拔出萝卜带出泥,一条“黑话”需要更多的来支撑,所以新的又来了一大堆;在上面提到的Fabless设计领域,有个充满“痞气”的黑话叫“流片”,又叫 TapeOut;实际指的就是芯片的“试生产”;就是说设计完集成电路以后,先生产几片几十片,只供测试用;如果测试通过,就照着这个样子开始大规模生产了;上面提到的Mask,可以叫光刻掩模版;如果把光刻芯片工序看作“印钞”,Mask就是印刷的模板,这个“母版”就是半导体制程中的“印钞”模具;制造一颗芯片要用到的Mask绝对不止一张,现在的高级制程很容易就超过20张的;简单理解就是基本每加一层堆栈就上了个光罩,20层堆栈Multi-Patterning的芯片很可能需要20张Mask,每一层刻蚀完成就换上一张Mask;值得一提的是,在换下一张的Mask曝光之前,就是芯片多层堆栈结构量测的节点;前面提过,量测的对象是关键线宽,又叫关键尺寸,这里最关键的尺寸是LG Length of Gate,也就是要必须用到CD-SEM的节点了;场效应晶体管FET Field Effect Transistor制程中率先需要被安排在最底层的的三极结构中,通过掺杂Doping,使源极Source电性与底材P-Si相反的,就是漏极Drain,“黑话”也叫汲极;源漏之间的栅极Gate,充当开关的作用,所以又叫闸极;Gate不能太宽,更怕太窄,是关键尺寸量测的大头。芯片的良率,又叫Yield,的好坏取决于关键线宽的准确度;慢着,“Yield”不是我们“正常人”熟悉的术语“产额”吗?比如大家耳熟能详的二次电子SE和背散射电子BSE的产额……;所以这里需要吐槽的是,半导体的“黑话”是可以粗暴“跨界”的。上面提到的更换Mask之间的CDSEM线上检测,半导体“黑话”叫AEI蚀刻后检查,即After Etching Inspection;这个工序发生在刻蚀制程中光阻PR去除前和去除后之间,分别对产品实施主检或抽样检查;目的有四:一是提高产品良率Yield,避免不良品外流;二是达到品质的一致性和制程的重复性;三是显示制程能力的指标;四是防止异常扩大,节省成本。通常AEI检查出来的不良品,非必要时很少做修改;因为除去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加、生产成本增高,反而事与愿违,导致整体良率降低。再回来接着聊“流片”Tape out这个词,虽是“黑话”,但也不是能随便用的,往往在实验性生产和验证性生产中才用“流片”这个词;一般来说Tape out的模式有2大类:一类是多家拼一起的MPWMulti-Project Wafer,另一种是专用的全晶圆流片;前者因为成本低,一般实验流片用;后者成本高,一般用于批量生产。关于MPW,可以参考上海集成电路促进中心(ICC)的相关业务介绍:2010年1月21日,上海集成电路技术与产业促进中心就推出了这个“多项目晶圆”,也就是MPW服务了;可不要小看这个服务,MPW可以使流片费用降低九成以上;对广大的中小型Fabless初创企业是一大福音。这里顺便提一句,自从“川建国”同志到任之后,国内的Fabless公司一再蹿升,已经有了近万家了。下节我们接着聊些有趣的半导体“黑话”,我们会更加深入芯片制造的世界......
  • 闲聊半导体行业和芯片人的”黑话“(中)
    上篇聊的偏粗线条,这篇会聊一些更细节的半导体“黑话”,还有就是这些半导体独立王国的“语言”和我们“正常人”说的话到底有什么关联,毕竟半导体行业不是凭空出世的,芯片人更不是“空降兵”,这个细分领域的技术和应用是有其根基和土壤的; “正常”电镜人里做冷场发射电镜CFESEM的,特别是做氦离子显微镜HIM的,都熟悉“Anneal”这个术语,指的是通过瞬间加热灯尖驱逐吸附的气体分子,增强钨单晶的活性以便于灯尖切削,俗称“重生”;半导体对“Anneal”的”黑话“称呼差别比较大叫回火,又称退火,也是对wafer进行热处理的一种手段;更广义地讲,集成电路IC工艺中所有的在氮气等不活泼气氛中进行的热处理的过程,都可以称为退火;”退火“与“重生”异曲同工,目的一是激活杂质,使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载流子,起到掺入杂质Doping的作用;二是消除损伤,离子植入Ion Implatation后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁区;由于进入底材Substrate中的离子行进中将硅原子撞离原来的晶格位置,而导致的晶体的特性改变就叫损毁;而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、时间差异来控制全部或局部的活化植入离子的功能。氧化制程中也有回火工序,主要是为了降低SiO2的晶格结构。退火方式有传统的炉Furnace退火,还有更先进的快速退火:包括脉冲激光法、连续波激光、非相干宽带频光源;如卤光灯、电弧灯、石墨加热器、红外设备等;“Furnace”在透射电镜TEM样品加热杆Heating holder上很常见,就是“坩埚”。 这里提到的离子植入Ion Implantation对熟悉FIB的“正常人“并不陌生,传统聚焦离子束利用Ga+离子束进行切割Milling、截面Sectioning、或是在气体注入系统GIS的配合下做刻蚀Etching,离子束在不同的气体配合下,可以做到选择性刻蚀Selective Etching,或增强型刻蚀Enhanced Etching;在FIB中,掺杂物Dopant对样品的掺杂Doping,我们是不希望看到的,注入到样品里的Ga+会被视为对样品的污染Contamination;而晶圆制造中的离子植入,是在原本本征的半导体里主动的植入或通过扩散的方法将其它的原子或离子掺杂进去,达到改变其电性能的方法,这里,我们是希望看到样品wafer性能发生改变的; 甚至,当一般植入的离子分布达不到要求时,还会加码,通过进炉管Furnace加高温的方式将离子进行扩散Diffusion,以达到我们对离子分布的要求,同时对离子植入造成的缺陷进行修复;这里涉及到的设备叫扩散炉Diffusion furnace;此工序就是在扩散炉管内通以饱和的杂质蒸汽,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入扩散,快速完成固态扩散需要约数亿年才能完成的任务;Diffusion虽然能较精确地选择杂质Dopant的数量,但受限于离子能量,无法将杂质驱入芯片更深(um级别)的区域了;因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除;此方法就称为驱入Drive-In;“Drive-in“在这里就不是那种能直接开车进去看电影的露天电影院了;”Drive-In”工艺中,不再加入半导体杂质总量,只将表面的杂质往半导体内更深入的推进;在驱入时,常通入一些氧气﹒因为硅氧化时,会产生一些缺陷,如空洞Vacancy;这些缺陷会有助于杂质原子的扩散速度;电镜中用到的超高真空泵-离子泵Ion Getter Pump,有和上面说的半导体的“Drive-in”手段类似,通过将杂质气体分子驱入离子泵的钛合金板,逐步达到提升真空的功效;顺便一句,半导体制程中用到的”特种气体“,”黑话“叫”特气“。 还记得”正常“电镜上熟悉的用来做表层污染物去除的Plasma cleaner等离子清洗吗?电子枪和电子光学镜筒装配前会用来轰击清洁样品表面,避免超高真空下的发气outgassing,俗称”轰击台“;晶圆制程中的这类设备就叫Arc Chamber弧光反应室,和“轰击台”原理一样,事实上设备就是利用一个直流式的电浆发生器,操作电流产生的弧光电浆来清洁抛光晶圆表面;这里的电浆就是又一句半导体“黑话”,正常人一般叫它Plasma,或等离子体;电浆Plasma是人类近代物化史上重大的发现之一,指的是一个遭受部分离子化的气体,气体里面的组成有各种带电荷的电子,离子,及不带电的分子和原子团等;电浆发生器的两金属极板上加上直流电压而产生的电浆我们就叫它直流电浆DC Plasma了; 提到电浆,就顺便说下晶圆的镀膜Deposition环节;相比较使用化学蒸气沉积CVD Chemical Vapor Deposition方法的镀膜,使用物理蒸气沉积法PVD的镀膜工艺在高制程中更常被用到;PVD制程中,脱离电浆的带正电荷离子,在暗区的电场的加速下,获得高能量,当离子与阴电极产生轰击之后,基于能量传递的原理,离子轰击除了会产生二次电子以外,还会把电极表面的原子给"打击"出来,称为sputtering溅射;电极板加直流电压称为DC Sputtering直流溅射;阴极导电材料,因为像“靶子“被电浆打击,被称为靶材Target;说到这里,我嘘了一口气;终于,半导体人也不是必须只讲自己人的”黑话“,他们跟”正常人“一样,还是能讲些”人话“的;需要溅射镀膜比较细腻的可以用磁控DC溅镀机:为了使离子在往金属靶表面移动时获得足够的能量,除了提高极板间的电压外,还必须使离子在阴极暗区内所遭受的碰撞次数降低,就必须降低溅渡的压力,越低越好,以增长离子的平均自由行程;这样一来,单位体积内的气体分子数降低,使得电浆里的离子浓度也降低,导致溅渡薄膜的沉积速率变慢,整体镀膜更加细腻;“正常”电镜实验室中,为了增加样品导电性,我们会去给样品镀一层金膜,这里的“镀金仪”就是缩小版的Fab里的PVD设备了;除了“镀金”,我们还经常会去“镀碳”;碳膜比起金膜来,可以增强样品表层导电性,但不会影响到“打能谱”,标定样品的化学元素信息;所以生物样品常用镀金,材料样品更愿意镀碳,就是这个道理; 我们利用Sputtering溅射技术镀金,镀碳的技术则为Evaporation蒸镀;Fab里的采用蒸镀技术的PVD设备,就是将蒸镀源放在坩埚Furnace里加热,当温度升高到接近蒸镀源的熔点附近时,原本处于固态的蒸镀源的蒸发能力将会特别强,利用这些被蒸发出来的原子,就可在附着在离其不远处的芯片Wafer表面上,形成薄膜式的沉积层,这种方法就是蒸镀Evaporation了;随着用以隔离之用的场氧化层FOX,CMOS电晶体,金属层及介电层等构成IC的各个结构在Chip芯片上建立之后,芯片的表面也将随之变得上下凸凹不平,致使后续制程变得更加困难;所以,传统半导体制程就开始执行芯片表面平坦化的技术了;以介电层SiO2的平坦化为例,有高温热流法、各种回蚀技术及旋涂式玻璃法;当 超大规模集成电路 VeryLarge Scale Integration- VLSI的制程推进到0.35um以下后,上面这些技术就不能满足制程需求了,故而也就产生了Chemically Mechanical Polishing化学机械研磨法CMP制程;所谓CMP就是利用在表面布满研磨颗粒的研磨垫Polishing pad,对凸凹不平的晶体表面,藉由化学助剂Reagent的辅助,以化学反应和机械式研磨等双重的加工动作,来进行其表面平坦化的处理;看到这里,您不难看出,这个半导体的CMP不就是我们“正常”实验室人经常操作的电化学抛光Electro-ChemicalPolishing制样流程吗?怎么什么进了半导体应用的门槛就非得改名,开说“黑话“呢?由于半导体芯片行业薪酬普遍高出“正常“行业一头,导致在这个行业里的从业人沾沾自喜;笔者接触过一位有幸掌握了CMP操作技术的年轻人,”下界“来做电镜售后,满脑子都是半导体厂里的条条框框,动辄fingerpointing这个那个流程不够详细规范,无法操作,责任不明等等,俨然就是一位被半导体惯坏Spoiled的巨婴,”下凡“之后连正常思考都不会了;这里例子让我知道了即使在光鲜的半导体行业里,也会培养出只知规程,而实际却”四体不勤,五谷不分“的庸才。通篇看到这里,要是您要还没说“服了You”的话,那下面我们再接着多看多学一堆半导体的”黑话“吧;一片大硅片、OR晶圆,即Wafer上有许多相同的方形小单位,这些小单位叫Die,大家在这里肯定都理解这不是那个常用动词了吧?不过学材料的同学,你们知道它“黑话“叫“晶粒“吗?这回不淡定了吧;同一片上的每个Die晶粒都是相同的构造,具有相同的功能,每个晶粒经切割封装后,就制成了一颗颗我们日常生活中常用的IC芯片了,所以每一个大晶圆片所能造出的小IC数量是很可观的;同样地,如果因制造的疏忽而产生的缺陷,往住波及到同一批次Lot里成百成千个产品;说到这里,各位看官也明白为什么晶圆厂都喜欢300mm,就是12英寸的大硅片了吧;没错,一次出货出的多,省耗材省时间,人人喜大普奔;这里再来个插科打诨,Die的复数这里“黑话“叫Dice;没错,就是我们耍的”骰子“一个词;让我们期盼这来之不易的晶圆片不会轻易地godie,好不容易划片成功的裸Die不会变态地被当骰子耍吧;Fab里最贵的三类设备,也就是晶圆制造前道工艺中的三大设备了;除了上面提到的各类“D”类Deposition沉积镀膜设备,其余两大设备就是听得耳朵都长茧子的的光刻机Photolithography“L“类设备,和光刻后的刻蚀机Etching”E“类设备了;目前海外Fab使用最广泛的光刻机就是DUV深紫外光刻Deep-UV lithography,和ASML动辄卖上亿美元一台的EUV极紫外光刻机Extreme-UV lithography了;不知不觉中,ASML和EUV似乎成了光刻机的代名词;事实上,能够在硅基上“刻”出图案的技术有多种:X射线光刻 X-ray lithography、电子束光刻 Electron beamlithography EBL、氦离子束光刻 Helium ion beam lithography、等离子体飞秒激光光刻Femtosecond Plasma Lithography FPL、纳米压痕刻Nano Imprint Lithography NIL;这么多种类的光刻技术,光刻机的供应商自然不会只有ASML一家,ASML倒确实是唯一一家既可以做EUV光刻,也能做EBL光刻的光刻机“大户”;ASML是在收购了用13260只电子束EBL跟它PK光刻老大败北的Mapper后,同时获得这项技术的;上面有提到可以说是半导体芯片行业使用频率可以排名前三的“黑话”,叫Fabless,业务里不做Fab的就叫Fabless;我们现在聊到了光刻,曝光时需要拿一片事先印好图形玻璃模板叫Mask或Reticle,放在光源与物镜之间的这一类叫做光罩Mask光刻,DUV、EUV光刻就是这类了;同理,曝光过程中不用模板辅助显影,而是光源直接接触硅片的技术就叫无光罩Maskless光刻了,带电粒子束光刻,也就是电子束、离子束一类光刻就属于这类了;“黑话”的特性是除了“道上”的同行,别人都听不懂的;这里的Maskless就又是一个“活生生”半导体的“黑话“的例子;既然提到“曝光“exposure,我们就顺便聊下半导体”盗用“摄影师的这条行话吧;早期照相机底片的感光原理在集成电路的制造过程中也适用;借助”曝光“,可以将光罩,又叫光掩模,也就是Mask上的事先定义的图形Pattern,转移到晶圆片上,借助大数值孔径Numerical Aperture NA的物镜的帮助,形成了更为精细的光阻图形;所以如果”光刻“是晶圆制造中最关键的一步的话,”曝光“便是”光刻“中那个最重要的步骤;既然重要,这里我们就多聊两句”黑话“:以使用最广的5XStepper为例,其方式就是把对紫外线UV敏感的光阻膜PR当作照相机的底片,光罩上是芯片架构师用EDA设计的各种图形,以特殊波长的光线,比如G-Line 436nm照射穿过光罩,经过物镜,又叫缩小镜或Reduction Lens后,光罩上的图形则呈5倍缩小,精确地定义在”底片“,也就是芯片上的光阻膜,又叫光刻胶或Photoresist,再经过显影Development后,即可将照到光线的正光阻膜显掉,从而就得到了我们心心念念地想要的各种精细图形,准备好为下一步的刻蚀或离子植入制程使用;因为光阻膜对于某特定波长的光线特别敏感,所以Fab厂都有黄光区,将一切照明用光源统一过滤成昏黄色,以避免泛白光源中含有对光阻有感光能力的波长成份存在,否则会发生光线污染,扰乱精细的光阻成形。就像胶片时代的照相底片一样,光刻胶 photoresist,又称光阻剂,也有正负之分;半导体的负片叫负性光刻胶negative photoresist,正片叫正性光刻胶 positive photoresist,较高制程的Fab多会用到正胶,显影时正胶会被显没,留下底下需要被刻蚀掉的硅片区域;“光刻胶“叫起来比”光阻剂“更加顺口,也算是一句标准的下凡“黑话”吧;这个关于光刻胶的“黑话”群还包括:无机光刻胶 inorganic resist、多层光刻胶 multilevel resist、电子束光刻胶 electron beam resist、X射线光刻胶 X-ray resist等分类;还有甩胶 spinning、涂胶 coating、烘胶 baking、去胶stripping、氧化去胶 removing by oxidation、等离子体去胶 removing of by plasma,等等。曝光工艺里还时不时地会听到还分接触式曝光法contact exposure、接近式曝光法 proximity exposure、还有投影式曝光法 projection exposure的说法,这是不是让刚刚觉得自己懂了点晶圆制造的半导体小白,只瞅了一眼半导体的世界,一下子又跌回了“深渊“呢?半导体“黑话“这次就先聊止于此,下篇是Save the best to the last,最精彩的那一集大结局;敬请期待。
  • 传半导体设备交期延 芯片厂投资恐受影响
    有业内人士指出,因缺料,导致半导体制造设备交期出现延迟, “恐要等1年半,”而事态若更加严重的话,当前火热的芯片厂设备投资恐将受到影响。据日媒日刊工业新闻7月30日报道,某家大型芯片厂高层表示,“这1-2个月来常听到有关设备交货时间延迟的消息,”虽然无法确定到底是哪种零件短缺,不过交期从原先的1年延长了半年时间至1年半,“今后必须评估交期延长因素、来制定工厂增产计划。”该高层指出,“数年前因滚珠螺杆短缺,导致设备交期延长情况显著。这1-2年来情况虽呈现好转,不过进入2021年来设备商很忙,也让交期话题再度浮现。”据报导,全球芯片检测设备龙头爱德万(Advantest)的测试设备所需的芯片采购越来越困难,该测试设备交期通常要3-4个月,但现在已延长至约6个月时间。爱德万测试总裁兼CEO吉田芳明表示,“发生过去未曾见过的材料短缺问题。举例来说,听闻(使用于半导体生产的)基板短缺情况在2-3年内无法解除。”报道指出,规模较大的设备商因具备更强的购买力,因此似乎未发生设备交期延迟问题。东京威力科创相关人士指出,“东京威力科创因和供应商之间早早就採行应对措施,因此未发生问题。”另据日经新闻6月22日报道,根据日本金属加工中介商Caddi以32家参与Caddi研讨会的半导体制造设备商为对象进行问券调查得知,约六成在最近1年间面临过零件供应不足问题。Caddi指出,在芯片需求攀高下,为了填补零件供应持续短缺的问题,越来越多半导体设备商急于寻找新的零件供应商。在接受问券的半导体设备商中,高达59%表示最近1年间曾因现有的零件供应商因生产追不上需求而导致零件供应不足问题。另外,高达七成以上表示,曾面临采购交期、价格、品质等问题。
  • 闲聊半导体行业和芯片人的“黑话”(下)
    中篇讲到了Fab里用到的曝光技术,“黑话“不少;其实这次还好,接触式光刻技术Contact photolithography其实属于早期的光刻手段Method,这种方法中图形光罩Mask与晶圆Wafer尺寸一样大,还要紧密地贴在一起;不难想象,这种方式很容易造成wafer表面的损坏,并且mask也好不到哪里去,很难重复利用;为了解决能不用贴在一起就能光刻的问题,就出现了接近式光刻技术Proximity photolithography;也就是把mask的位置提升,脱离芯片表面一定距离保持接近,但避免接触;虽然解决了损伤和重复利用的问题,没变的是此时的mask仍然要与wafer保持一样大的尺寸;在这种早期的接触和接近式光刻技术帮助下,人类有了第一代光源为436nm g-line,特征尺寸节点到0.8um~0.25um、和第二代光源提升为365nm i-line,由于Mask和Wafer还是1:1的比例,所以特征尺寸节点仍然保持在0.8um~0.25um;在接触接近式光刻技术之后,以DUV Deep Ultraviolet为代表的投影式光刻技术Projection photolithography成为主流:这里我们就有了第三代扫描投影式光刻机DUV Scan,光源开始采用DUV深紫外波长248nm KrF激光,曝光方式改为扫描投影式,工艺节点提升为180nm ~ 130nm范围;还有步进投影式光刻DUV step-and-repeat,曝光方式变为步进式,工艺节点进步到了110nm左右;同样,由于光源波长保持一样,步进投影式光刻DUV step-and-repeat和扫描投影式光刻DUV Scan,同属于第三代光刻机;实现这项技术的关键模组是驱动光源在mask上做步进运动Stepper,或是扫描运动Scanner的组件,“黑话”叫它对准器mask aligner,投影式光刻技术的对准器就叫projection mask aligner了;第四代的光刻机,DUV光源进一步缩短波长,采用193nm ArF激光,曝光方式也同时改进为步进扫描,这就是步进扫描式光刻DUV Step-and-Scan,此时工艺节点已经达到65nm级别;随着将曝光镜头浸没在水中,增加了数值孔径NA,进一步提高了分辨率,就是国内目前Fab厂最高制程的第四代浸没扫描式光刻机DUV Immersion Scan了,工艺节点到了22nm。除了ASML,尼康在上世纪末是当之无愧的光刻机巨头,从 80 年代后期至本世纪初,尼康光刻机市场占有率超50%,代表着当时光刻机的最高水平。这点从尼康官网半导体光刻系统历史发展也可以看出,1980年Launch的NSR-1010G,工艺节点在1.0 µm;从1984年开始,几乎每年都会Launch至少1款光刻机;到了1999年,除了推出世界第一台干式ArF扫描光刻机NSR-S302A,节点≦180 nm之外,尼康还推出了节点≦400nm的NSR-SF100、节点≦150nm的 NSR-S204B、节点≦350nm的 NSR-2205i14E2、节点≦110nm的 NSR-S305B四款设备,卖出的光刻系统数量达到 6,000 台;也和佳能一样,在本世纪初,那场干湿路线之争成为了尼康的转折点,一路跌出了高端光刻机市场,直到2018年,尼康断言,“ArF液浸作为尖端曝光装置使用的电路尺寸是主战场”,推出了专为5nm工艺制程量产而开发的NSR-S635E ArF 浸没式扫描光刻机;尼康的这次出击确保了机台出色的聚焦稳定性并最大限度地减少了缺陷以提高产量,以每小时高达 275 个晶圆的超高通量,完成了回归。摩尔定律一路袭来,繁华之下仔细看过,光刻工艺节点Technology node,“黑话”又叫“制程”的提升,其实是和寸土寸金的Fab外的,我们“正常”实验室里的光学显微术Light microscopy进化历程不约而同,殊途同归的,不是吗?为了提升白光光镜的分辨能力,我们尝试了从汞灯,卤素,LED,一直到激光Laser的历程;在高倍100X的物镜和样品盖玻片之间,我们不也用折射率n为1.58的浸没油Immersion Oil来排挤空气,改善光线折射的数值孔径NA,进而提高分辨率吗?我想说的是,半导体行业的光鲜,跟它有多高的技术没有直接关系;让它披上靓丽的光环的,是在同等级别技术下的能够独步“武林”的应用-芯片制造,更是在这些应用背后成为推手的更加辉煌的民用和国防基建进展;疫情下“缺芯潮”持续,各行业对芯片的需求,转化为对芯片人才的需求,形了成一股芯片行业的涨薪潮。芯片行业资深猎头机构“Match Offer”说:“别家都在裁员,芯片企业却在涨薪;芯片行业整体都很缺人,尤其是芯片设计,我们经手的很多芯片设计工程师和验证工程师年薪在60万~120万元,属于团队中坚力量;中坚中的“战斗机”-MCU架构设计师起薪已经飙到200万!薪资普涨背后,除芯片设计公司吸纳更多人才,不少科技企业也开始自研芯片,也推高了芯片人才的需求;深度科技研究院表示:“从设计制造到封测,芯片产业各环节都有人才缺口,其中最缺的是接触过先进设备、从事过先进芯片设计和生产的高端人才。以往半导体公司薪资待遇长期偏低,核心人才容易流失,高校芯片专业偏冷门,多重因素导致人才供应不足。如今电动车、3C产品对芯片的需求扩大,导致芯片产能和人才需求增加”。我们不得不说,半导体行业和芯片人,真乃生人逢时也!说回光刻机,在22nm节点之后,DUV已经没法再优化了,只能重新开发新的13.5nm 的EUV极紫外光源;现阶段的EUV,确实是ASML的垄断状态,实现的工艺节点可以到14nm、7nm、5nm;EUV技术的关键难点在于材料吸收,因为波长太短光子能量很高,基本上大部分材料都会很容易的吸收EUV光源,导致光源到达工作面时光强很弱,所以设计时材料的选取是非常关键,光刻环境也要要求严格的真空环境;EUV作为一种新光源的第五代光刻机的出现,还会影响一整条产业链的格局,因为不同光源对Mask材料,光刻胶材料,光学镜头等都有独特的要求,最新的0.55NA的造价已经标至几十亿美元一台。ASML总部2017年曾说过:“如果我们交不出EUV,摩尔定律就会从此停止。”;ASML日本也说过:“摩尔定律预计未来10年后还会持续下去,以此为中心支撑的是最先进的EUV光刻机”;近期的ASML公众号也在咬着后槽牙讲话:“只要我们还有想法,摩尔定律就会继续生效!”。可是,面对高昂的代价和无限长的货期,理性说:“还是让我们回过头来,重新盘点了下上面我们提到的那些光刻技术吧;是时候要回归Maskless光刻了,更是时候抛弃传统的只有用“光”才能“刻”的技术了!“不用光罩的Maskless电子束曝光系统 electron beam exposure技术EBL,虽然由于通量有限曾被EUV打败,但是电子先天短波长的优势,势必会重回“光刻”舞台;全球六家EBL厂家,欧洲有两家,日本四家;前身是Leica Microsystem芯片分支的Vistec公司,以其“有趣“的历程,惹人关注;国产EBL厂家”Goldenscope”立志追赶,投资1.7亿开发的电子束光刻设备,已经有三台样机在深圳福田,北航,及国防大学处安装完成,并投入使用;第四台即将安装在怀柔科学城;不用“光”的纳米压痕NIL技术,更以相比EUV只有四成的成本和一成的功耗,重回芯片产业视野;这里我们要谈到另一家光刻机巨头佳能:跟尼康一样,佳能在上世纪还是很猛的,1970年发售了日本首台半导体光刻机PPC-1;1975年发售的FPA-141F光刻机,在世界上首次实现了1微米以下的光刻;1984年推出了FPA-1500FA,节点在1.0 μm;1994 年发布第一款FPA-3000 系列,配备了分辨率为 0.35 μm 的i-line 镜头,是当时世界上分辨能力最高的镜头之一;也和尼康一样,本世纪初的那场干湿路线之争也成为了佳能的“滑铁卢”。这里我们要说的是,佳能早在2004 年就开始研发NIL技术,2014年美国分子压印公司(现佳能纳米技术)加入佳能集团的消息公开,明确表示将使用纳米压印法进行开发;2021 年,大日本印刷在根据设备的规格进行了NIL内部模拟,发现在电路形成过程中每个晶片的功耗可以降低到使用EUV曝光时的大约1/10;根据大日本印刷的说法,NIL量产技术制程可达5nm的节点;2017年,佳能NIL纳米压印芯片制造设备“FPA-1200NZ2C”,正式交付给东芝存储器工厂;从目前透露的消息来看,和佳能共同开发的NIL技术的铠侠KIOXIA已掌握NIL 15nm的制程量产技术,目前正在进行15nm以下技术研发,预计2025年进一步达成量产。聊完“D”沉积镀膜,“L”光刻,我们到了“E” Etching蚀刻设备了;在IC集成电路的制程中,常常需要将整个电路图案定义出来,其制造程序是先长出或盖上一层所需要的薄膜Deposition,再利用微显影技术Development在这层薄膜上,以光阻PR定义出所欲制造的电路图案,再利用化学或物理方式将不需要的部份去除Etch;此种去除步骤,便称为蚀刻;蚀刻可分为湿法蚀刻Wet Etching,及干法蚀刻Dry Etching两种:所谓湿蚀刻就是利用化学品,如酸液,与所欲蚀刻的薄膜起化学反应,产生气体或可溶性生成物,达到图案定义的目的;而所谓干蚀刻,则是利用机台产生电浆将所欲蚀刻的薄膜反应,产生气体,由真空泵PUMP抽走,达到图案定义的目的。这里讲话“蚀刻”,或“刻蚀”不定,关键字是“蚀”,就是“挖走”、“去除”之意;这里的“黑话”群包括反应离子刻蚀 reactive ion etching, RIE、各向同性刻蚀 isotropic etching、各向异性刻蚀 anisotropic etching、反应溅射刻蚀 reactive sputter etching、等离子体刻蚀 plasma etching。上面提到,Chip的Etching过程中,常需要用酸碱溶液;这些蚀刻步骤之后,必须利用水把芯片表面残留的酸碱清除,而且水的用量是相当大;然而IC工业用水,并不是一般的自来水,而是自来水或地下水经过一系列的纯化而成;因为自来水或地下水中,含有大量的细菌,金属离子及各类Particle,将之杀菌过滤和纯化后,即可把杂质去除,所得的水即称为"去离子水"Deionized Water,就是DI water,专供IC制造之用。这里想到的是,“正常”实验室里作为电镜冷却液的“水”,不少会用到这个“去离子水”,这是有害无益的;因为铜质冷却管道会“损失”铜离子到DI water中,长此以往会害上“骨质疏松”症,越来越薄,直到泄露;“正常人”知道,电镜散热要求较易满足,冷却液里主要怕长“水藻”,一种类似汽车防冻液,叫“Hexid40”的冷却液就足够了。从Fab和Foundry出来的Chip,就完成了芯片制造的“前道”程序,该进入“后道”的“封测”了;封装“黑话”叫Packaging,这里的“黑话群”有金属封装 metallic packaging、陶瓷封装 ceramic packaging、扁平封装 flat packaging、塑封 plastic package、玻璃封装 glass packaging,都属于微封装 micropackaging,又称“微组装”;更大的印刷线路板PCB封装中,常见Surface Mounted Technology SMT,比起“传统”的Pin Through Hole PTH“板上插针”方式就是“鸟枪换炮”。“市场对芯片是刚性需求,相应对芯片人才也会保持较大需求。未来,要等待企业成长、逐渐培养人才,也要等待高校输出高质量毕业生。”笔者说;要满足半导体行业对“芯片人”的用人需求,不仅需要企业提高薪资吸引人才,还需等待行业逐渐成熟,有能力培养并稳定人才。笔者表示,解决芯片行业缺人问题,还是需要市场发挥作用,逐渐调整,就从学会“说黑话,对暗号”开始吧。
  • 我国半导体量子计算芯片封装技术进入全新阶段
    近日,记者从量子计算芯片安徽省重点实验室获悉,我国科研团队成功研制出第一代商业级半导体量子芯片电路载板,该载板最大可支持6比特半导体量子芯片的封装和测试需求,使半导体量子芯片可更高效地与其他量子计算机关键核心部件交互联通,将充分发挥半导体量子芯片的强大性能。量子计算机具有比传统计算机更高效的计算能力和更快的运算速度,在多种不同技术路线中,半导体量子计算因其自旋量子比特尺寸小、良好的可扩展性、与现代半导体工艺技术兼容等优点,被视为有望实现大规模量子计算机处理器的强有力候选之一。据了解,要实现半导体量子计算,需要该体系下稳定、可控的量子比特,芯片载板则扮演了支持量子芯片与外界测量链路及测控设备建立稳定连接的关键角色。但该领域资金投入大、技术壁垒高导致整体研发周期长、研发难度大。目前国际上生产半导体量子芯片载板的仅有丹麦一家量子计算硬件公司。“量子芯片载板是量子芯片封装中不可或缺的一部分,量子芯片的载版就好比城市的‘地基’,它能够为半导体量子芯片提供基础支撑和信号连接,其上集成的电路和器件可有效提升量子比特信号读取的信噪比和读出保真度,确保量子芯片稳定运行。该载板高度集成的各类量子功能器件和电路功能单元,极大地提升了量子芯片的操控性能。”量子计算芯片安徽省重点实验室副主任贾志龙介绍,“研发出这款半导体量子芯片电路载板可以大大节约我国在半导体量子计算技术路线的研发生产成本,也标志着我国半导体量子计算芯片封装技术进入全新阶段。”
  • 半导体政策或持续收紧,中国芯片设备之困怎么破?
    在遭受国外严厉的半导体技术管控之后,中国最近几年已经加大了自力更生的力度,不断完善半导体产业链,也取得了一定的进展,比如7纳米芯片。然而,半导体制造设备这一产业链核心环节,仍将是中国未来一段时间最大的掣肘因素,特别是在高端半导体设备上。几年前,中国在半导体制造上已经转变了发展策略,集中大量的资金和技术投入到成熟芯片工艺,也使得对中低端半导体设备的需求持续旺盛。这一策略的背后,一方面是契合中国各大产业经济实际所需,另一方面也是无奈的应对之策。也正是在中国大陆半导体设备需求的拉动之下,各大半导体设备厂商在全球持续的低迷的大背景下,仍然享受到了中国市场的发展红利。值得关注的是,最近有外媒报道称,美国仍然在拉拢半导体盟友,试图进一步收紧对中国半导体技术的限制,加上短期内中国在上游关键设备和材料上严重依赖进口的局面不会发生本质性的变化,将对中国半导体制造环节带来一定的负面影响。为了应对未来限制政策的不确定性,中国也利用出口管制政策,对抗美国及其盟友的对华限制政策。中国大陆半导体设备进口持续旺盛国际半导体产业协会(SEMI)最新数据显示,2024年上半年中国大陆在芯片制造设备上的支出高达250亿美元,约合1779.40亿元人民币,超过韩国、中国台湾和美国总和。目前,中国大陆在芯片制造设备上的投资主要集中在晶圆厂设备上,以努力实现芯片生产本地化并减少对外国供应商的依赖。对此,SEMI市场情报高级总监Clark Tseng指出,至少有10多家二线芯片制造商也在积极购买新工具,推动了中国大陆的整体支出。在全球经济放缓的背景下,中国大陆是唯一一个芯片制造设备支出同比增长的地区。与此同时,中国大陆已成为全球顶级芯片设备供应商的最大营收来源,美国应用材料公司、泛林集团和科磊等公司的财报显示,中国大陆市场贡献了它们44%的营收。相关企业披露的信息显示,日本东京电子和荷兰ASML在中国大陆的半导体设备市场更大。其中,东京电子6月份当季49.9%的收入来自中国大陆,而荷兰ASML 49%的收入来自中国。此外,最近中国海关总署也公布了贸易数据:今年1至7月,中国企业进口了价值近260亿美元的芯片制造设备。这一数字超过了2021年的最高值。然而,Clark Tseng表示,SEMI预计未来两年中国建设新工厂的总支出将“正常化”。跟美国、欧盟等国家和地区一样,中国在半导体行业领域同样有着庞大的投资计划,且持续推动国产替代战略。SEMI的数据预测到2027年,中国大陆将保持每年300亿美元以上的300mm晶圆厂投资。此外,中国通过税收优惠、低息贷款等措施来促进投资,以提升高性能处理器和存储器的本土制造能力。美国将持续收紧技术管控尽管目前美国大选未有明确的迹象,但无论谁最终入主白宫,对中国高科技领域的封堵战略不会轻易改变。而且,美国一直在向日本、荷兰施压,要求日本、荷兰对包括Tokyo Electron、ASML在内的半导体设备企业向中国出售先进半导体制造设备施加更多限制。对此,中国从去年开始也出台了反制措施:2023 年 8月,开始限制镓和锗金属及其几种化合物的出口,除非获得许可证;2023年12月,还收紧了对部分石墨产品的出口管制,并禁止出口制造稀土磁铁的技术;今年8月,再次对锑这一极具战略意义的材料进行出口管制。这些矿产材料、原料以及相关制品和核心技术都是中国反制美国以及盟友滥用出口管制政策的“杀手锏”,比如镓和锗两种金属的全球产量中约有90%在中国,对欧美电子供应链,包括半导体甚至国防工业都至关重要。据彭博社报道,目前日本政府担心如果进一步限制向中国企业出售芯片制造设备和提供售后服务,中国或将对日本祭出严厉的反制政策。丰田(TOYOTA)公司已经私下告诉日本官员,中国可能会通过切断日本汽车生产必需的关键矿物的获取,来应对日本政府新的半导体管制措施。此外,日本半导体设备大厂东京电子(Tokyo Electron)也将受到日本任何新的出口管制的影响。近日,荷兰首相迪克斯霍夫在谈及是否将进一步限制荷兰半导体设备制造商ASML公司对华出口的相关问题时表示,在做决定时,荷兰政府将考虑ASML公司的经济利益,权衡利益与风险。对荷兰来说,ASML属于非常重要的创新产业,在任何情况下都不应蒙受损失。不过,美国似乎执意推动日本、荷兰一起收紧对华的半导体设备和技术限制,而且一直在与日本合作制定一项战略政策,以确保关键矿物的充足供应,并预计在今年年底前与日本达成协议。同时,美国还可能行使被称为“外国直接产品规则”(FDPR)的权力,使得美国能够对外国产品实施“长臂管辖”。这一“规则”使即使是在美国境外生产的特定物项,如果开发或制造直接利用了哪怕是最少量的美国特定受管控的软件或技术,则该物项也将受到出口管制管辖。此外,美国国会议员还敦促美国政府利用“一切形式的可用杠杆”来确保盟友的合作。他们表示,如有必要,他们将支持对与美国公司直接争夺市场份额的盟国的芯片制造设备厂商加征关税。如何应对潜在的贸易限制?当前,由于高端芯片海外代工受限以及高端半导体制造设备进口管制严苛,中国加快了核心环节设备的国产化进程。中国厂商大量进口半导体设备就是为了应对国际形势的变化,也是为了确保供应链的安全性和稳定性。实际上,美国半导体政策不仅影响着中国,还在全球范围内构建起了“贸易藩篱”。在美国出台芯片法案之后,欧盟、日本、韩国等国家和地区均出台了半导体相关的政策。其中,欧盟在半导体供给上有着切肤之痛,特别是在新冠疫情期间,汽车芯片的短缺,严重冲击了本土的汽车工业。如今,美国出台的《芯片和科学法案》、《通胀削减法案》不仅限制中国科技的发展,而且也在挖欧盟相关产业的“墙角”。欧盟势必要作出相应的反应,其中欧盟版“芯片法案”就是其中措施之一。然而,这法案似乎没有达到预期,使得欧盟对新的半导体政策有着更加迫切的需求。近日,欧洲半导体产业协会(ESIA)就发表声明,希望欧盟新领导班子加快推出《芯片法案2.0》,主张新政策应聚焦于激励和合作,而非限制和保护性措施。同时,ESIA建议减少出口限制,关注欧洲已有优势的领域,并快速发放援助。该组织认为,应设立“芯片特使”职位,负责半导体产业政策,以推动欧洲在全球芯片市场竞争力的提升。正因为全球范围内半导体本土化的政策,SEMI预计,随着半导体生产的本土化趋势,到2027年,东南亚、美国、欧洲和日本的年度支出也将大幅增长。未来,在全球其他地区半导体产业扩张之际,中国半导体设备需求或将难以满足,即使中低端半导体设备。毕竟全球各国或地区都在专注于本土化半导体供应链的安全和自主,特别是印度最近也决定加大芯片制造激励政策,将第二阶段的资助金额从第一阶段的100亿美元增加到150亿美元,以谋求半导体大国之梦。因此,在产业链依然薄弱的半导体设备和材料环节上,中国还是需要积极发展替代技术和供应链,大力投入先进芯片制造技术中关键设备的研发和验证,且通过自主研发和国际合作来弥补这一缺口。
  • 中国科研团队成功研制半导体量子芯片电路载板
    记者11日从量子计算芯片安徽省重点实验室获悉,本源量子计算科技(合肥)股份有限公司科研团队成功研制出第一代商业级半导体量子芯片电路载板,填补了中国在该领域的空白。量子计算机具有比传统计算机更高效的计算能力和更快的运算速度。其中,半导体量子计算因其自旋量子比特尺寸小、良好的可扩展性与现代半导体工艺技术兼容等优点,被视为有望实现大规模量子计算机处理器的路线之一。据量子计算芯片安徽省重点实验室副主任贾志龙介绍,本次研发成功的半导体量子芯片电路载板最大可支持6比特半导体量子芯片的封装和测试需求,使得半导体量子芯片可更高效地与其他量子计算机关键核心部件交互联通。该载板高度集成的各类量子功能器件和电路功能单元,极大地提升了量子芯片的操控性能。“量子芯片载板是量子芯片封装中不可或缺的一部分,量子芯片的载板就好比城市的‘地基’。”贾志龙说,这款半导体量子芯片载板可以大大节约半导体量子计算技术路线的研发生产成本。该科研团队技术起源于中国科学院量子信息重点实验室,在量子芯片设计制造领域深耕多年,此前已发布量子芯片工业设计软件“本源坤元”,自主开发激光退火仪、无损探针仪等量子芯片工业母机。
  • 外媒:《芯片法案》对半导体生态系统意味着什么?
    现在,2022年的《芯片和科学法案》已成为法律,半导体公司正在评估如何以及是否从分配给支持芯片制造的527亿美元联邦补贴中分一杯羹。这项两党立法是在半导体供应链严重中断之后制定的,标志着多年来关于如何最好地提高美国在一个被认为对国家和经济安全至关重要的行业中的竞争力的政治争论的高潮。美国半导体制造能力已从1990年占全球供应量的近40%下降到今天的12%。未来五年将分配的CHIPS资金中约有四分之三(390亿美元)专门用于建设半导体制造厂或“晶圆厂”,其中包括专门用于军事以及汽车和制造业所必需的成熟半导体的20亿美元。其余的资金将促进更强大的美国国内的半导体生产生态系统,包括研发和劳动力培养。这些补贴可以将为美国半导体公司提供必要的缓冲,不仅可以缩小他们今天面临的巨大的人才缺口,还可以提高技能和实现劳动力的多样化。该法律为数字制造和相关劳动力技能的重大变化提供了机会。这种方法可能是跟上竞争的关键,以减小芯片的尺寸和功率,同时提高性能。然而,这笔资金带来了一个问题:新的地理制造业限制。海外制造限制《芯片法案》禁止资金接受者在中国和美国法律定义为对美国构成国家安全威胁的国家扩大半导体制造。这些限制将适用于任何新设施,除非该设施主要为该国的市场生产传统半导体。此外,这些限制 - 自资助之日起10年内适用于资助接受者 - 可能会改变。为了确保这些限制与半导体技术和美国出口管制法规保持同步,法律规定,商务部长必须与国防部长和国家情报局局长协调,在行业投入下,定期重新考虑哪些技术受到此禁令的约束。企业应仔细考虑联邦资金的潜在价值是否足以抵消这些地理制造业的限制。评估《芯片法案》的价值旨在利用芯片法案资金的公司应考虑这五个关键问题。一、全球战略首先,公司应全面评估其企业战略,以确定其全球运营方式。主要考虑因素包括:●研究与开发设计和销售半导体但与代工厂签订合同制造它们的公司可能需要考虑新的合作伙伴关系,以遵守芯片法案的地理限制。这也适用于设计自己的芯片并外包制造的非半导体公司。●制造足迹随着半导体行业对地缘政治安全变得越来越重要,世界各国政府都向芯片制造商提供补贴——通常是根据他们自己的地理要求。以此为背景,公司应考虑芯片法案的资金及其附带的限制如何要求重新平衡其制造战略。●采购和供应链随着晶圆厂在美国产能的扩大,公司应该考虑是否也应该为后端组装、测试和设备包装寻找新的合作伙伴。集成设备制造商(IDM)和代工厂可能还需要考虑在美国扩大晶圆厂产能是否更具成本效益,而不是寻求代工厂合作伙伴关系。●联盟和上市能力成功扩大产能将需要公司在其合作伙伴生态系统中共同努力,包括代工厂、半导体设备、知识产权、设计服务、无晶圆厂公司和系统制造商。二、资金追踪预计获得资助的赠款机会的竞争将非常激烈。制定一份引人注目的拨款申请,不仅要描述该项目,还要描述其支撑美国供应链,就业增长,经济效益和社会影响的潜力,这将是至关重要的。此外,联邦基金需要合规和报告。公司需要了解这些要求,其中可能包括成本的资格和允许性,围绕性能和成本的大量报告,采购法规以及项目会计和跟踪。其他法律,如戴维斯 - 培根法案,规范联邦政府资助的建筑项目的劳动力,可能适用。公司将需要一个计划来获取适当的人才,或考虑聘请外部提供者来管理授予的赠款。三、资本项目管理鉴于最近供应链的动荡和持续的熟练劳动力短缺,半导体公司比以往任何时候都更加紧张。投资扩大半导体产能的公司需要保持强大的资本项目管理能力,以确认他们可以在高通胀和高行业周期性的环境中开展项目。拥有合适的人才来为大型复杂的建筑项目提供全面的风险管理和监督至关重要。四、数字化转型平衡快速将新晶圆厂上线的财务动机与创新需求至关重要。行业特定的云解决方案旨在通过提高生产力和优化资源来加快上市时间,从而提供竞争优势。五、资本融资策略在公司考虑是否申请芯片法案资金时,他们最好为多种情况进行规划。鉴于地缘政治气候在10年内可能会发生变化,公司应考虑是否能够吸收与改变制造禁令有关的任何财务损失。除了直接补贴外,该法律还包括一项临时的25%的先进制造业投资信贷,用于半导体制造资产的支出,为购买专业工具设备创造了激励措施。符合条件的纳税人需要遵守《芯片法案》的地理制造限制,并可以选择将抵免视为税款(“直接支付”)。前景《芯片法案》可能会为半导体公司带来机会,但要实现其潜力,就需要重新思考全球战略以及数字化转型、资本项目管理和财务规划计划。地缘政治的不确定性,加上最近市场的巨大变化,要求公司仔细评估自己在半导体价值链中的地位,以及如何提高自己的地位——不仅是为了今天的敏捷性,也是为了明天的稳定性。总结为了充分利用芯片法案,半导体公司应重新评估全球战略,同时规划拨款追求,数字化转型,资本项目管理和财务规划。资金接受者不得在中国或任何对美国国家安全构成威胁的国家扩大半导体制造业。这些补贴可以为半导体公司提供缓冲,以提升技能和使其劳动力多样化。一、会议概述半导体产业作为现代信息技术产业的基础,已成为社会发展和国民经济的基础性、战略性和先导性产业,是现代日常生活和未来科技进步必不可少的重要组成部分;伴随着全球科技逐渐进步,全球范围内半导体产业规模基本都保持着持续扩张态势。美国半导体产业协会(SIA)发布数据显示,2021年全球售出1.15万亿颗芯片,销售额达到创纪录的5559亿美元,同比增长26%。这也是全球半导体市场规模首次突破5000亿美元。基于此,仪器信息网联合电子工业出版社特主办首届“半导体工艺与检测技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与检测技术,从各种半导体制造工艺及其检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。主办单位: 仪器信息网 电子工业出版社直播平台:仪器信息网网络讲堂平台会议官网:https://www.instrument.com.cn/webinar/meetings/semiconductor20220920/会议形式:线上直播,免费报名参会(报名入口见会议官网或点击上方图片)二、会议日程首届“半导体工艺与检测技术”网络会议9月26-27日时间专场名称9月26日上午薄膜沉积与外延及其检测技术9月26日下午光刻与刻蚀及其检测技术9月27日上午封装及其检测技术9月27日下午半导体失效分析及沾污检测三、 会议联系 会议内容: 康编辑(仪器信息网) 15733280108 kangpc@instrument.com.cn 会议赞助: 刘经理 15718850776(同微信) liuyw@instrument.com.cn
  • 从“芯片荒”到“去库存” 全球半导体行业“风云突变”
    芯片“不香了”吗韩国半导体行业日前再受暴击。最新数据显示,韩国去年11月份芯片产量连续第四个月下降,同比下滑15%,出现2009年以来的最大降幅。另据瑞银的一项分析,芯片库存正处于10余年来最高水平。从“芯片荒”到“去库存”,短短两年间,全球半导体行业“风云突变”。随着半导体行业进入寒冬,企业业绩出现明显下滑。三星电子去年三季度动态随机存取存储器(DRAM)销售额环比下滑34.2%。SK海力士销售额环比减少25.3%。除此之外,内存芯片巨头美光计划裁员、英特尔削减成本、代工厂宁可违约也要“砍单”,席卷消费电子市场的寒气迅速传导至芯片市场,让半导体生产厂商从“赚不够”变成了“卖不动”。作为三星电子和SK海力士的所在国,半导体行业低迷为韩国经济蒙上一层阴影。2022年11月份,韩国整体工业产出较上年同期萎缩3.7%,创下两年来最大降幅。半导体是韩国第一大出口商品,韩国总统曾将半导体比作韩国主食“大米”,足见其对韩国经济的重要性。韩国财政部在一份声明中说,全球芯片需求疲软让韩国经济前景更加不确定。韩国总统已经要求财政部积极考虑扩大芯片行业的税收优惠。不过,韩国媒体预测,韩国“半导体产业的冬天”将比预想要长。除了对本国经济举足轻重,韩国半导体产业还被视为世界经济“煤矿中的金丝雀”。其芯片产量与出口数据下降表明,随着全球经济放缓,市场对科技零部件的需求进一步降温。世界半导体贸易组织预计,2023年全球半导体市场规模将同比减少4.1%,处于历史冰点。面对行业困境,裁撤员工、压缩库存、削减投资、收缩业务成为半导体行业“主旋律”。当然,也有像三星电子这样的行业巨头,选择逆周期而动,增加投资占领市场份额。此轮半导体行业进入下行周期,除了与全球经济放缓和电子消费产品需求下降有关,还要面对贸易冲突、逆全球化等外部环境带来的挑战,进一步增加了行业不确定性。面对不知何时才能结束的寒冬,企业更需要以“确定”应对不确定性。从长远看,芯片技术依旧是科技行业发展和进步的重要推动力。不论行业和外部环境如何变化,掌握关键技术始终是企业的核心竞争力。
  • 星曜半导体:推出世界最小尺寸双工器芯片
    7月21日,星曜半导体官宣正式发布全新一代小尺寸Band 2、Band 3、Band 7双工器芯片。三款产品均为1411尺寸(1.4mm x 1.1mm),此尺寸为目前全球范围内最小分立双工器芯片尺寸,且为全球首次发布。(来源:星曜半导体)据介绍,此次推出的三款双工器是基于星曜半导体新一代TF-SAW技术,融合了多种专利技术,三款产品总体性能达到国际一流水准。这是星曜半导体继发布各类1814和1612尺寸高性能双工器等重要产品后,又一次填补国内乃至国际空白的力作。浙江星曜半导体有限公司成立于2020年11月(前身是浙江信唐智芯科技有限公司),是一家专注于射频滤波器芯片和射频前端模组的研发、生产和销售的高科技企业,总部位于温州,在上海、成都、深圳、西安、苏州均设有研发或销售中心。据悉,该公司核心研发人员均毕业于国内外知名高校且拥有国内外顶尖射频滤波器或射频芯片公司(Qualcomm、Apple、Qorvo、Skyworks、TDK等)多年工作经验,曾研发出多款芯片成功量产应用在苹果、三星等品牌旗舰机型。
  • 拜安半导体MEMS芯片研发小试线首台设备入厂
    4月11日,上海拜安半导体有限公司举行了MEMS芯片研发小试线首台设备入厂仪式。拜安科技官方消息显示,拜安半导体由拜安科技和嘉定综保区公司共同投资,于2022年2月成立公司,3月取得项目准入,9月开工建设,今年6月即将进入试生产。图片来源:拜安科技据悉,拜安半导体致力于MEMS光纤传感器芯片的制造和研发。产线建成投产后,拜安半导体除了满足拜安科技对MEMS光纤传感器芯片的需求,还将对外开放MEMS光纤传感器芯片研发生产,每年研发生产芯片晶圆10000-15000片。拜安科技主要从事高性能MEMS光纤传感器和全光谱传感分析仪智能硬件的研发和制造,具备MEMS芯片设计和工艺流片、光学芯片封装、传感器和宽光谱波长可调谐激光器制造、专用集成电路和嵌入式软硬件设计、光谱图像智能识别、行业大数据平台开发、光机电设备微小型化集成等技术能力。下周开播!传感器/MEMS研究与检测技术讲座通知一、主办单位仪器信息网 & 电子工业出版社二、举办时间2023年4月11-26日,每周一期三、会议日程4月26日:传感器/MEMS研究与检测技术报告时间报告题目报告嘉宾单位职称14:00-14:40MEMS无线智能温振传感器及应用王建国苏州捷研芯电子科技有限公司副总经理14:40-15:20面向呼气标志物检测的气体传感器研究刘凤敏吉林大学教授四、参会指南1、点击会议页面链接报名;会议页面:https://insevent.instrument.com.cn/t/RUs 2、报名并审核通过后,将以短信形式向报名手机号发送在线听会链接;3、本次会议不收取任何注册或报名费用;4、会议联系人:3i讲堂—材料小周( 邮箱:zhouhh@instrument.com.cn;微信二维码如下,可加入会议交流群)会议联系人微信二维码
  • 中美芯片战之下,马来西亚的半导体“野心”曝光
    5月29日消息,马来西亚总理安瓦尔在本周二的“2024 年东南亚半导体展”启动仪式上,公布了该国的“国家半导体产业战略”(NSS),计划直接向该国半导体产业提供至少250亿令吉(约合53亿美元或人民币385.3亿元)的补贴,并吸引至少5000亿令吉(约合1062亿美元或人民币7705亿元)的本土及外国的企业投资,主要投向芯片设计、先进封装和半导体制造设备等关键领域。显然,马来西亚是希望通过提供53亿美元的半导体补贴,来撬动约1062亿美元的半导体投资。虽然53亿美元的补贴并不多,但是凭借马来西亚在半导体产业链当中的关键地位及当地半导体产业的集群优势和成本优势,特别是在中美科技战及地缘政治冲突影响下,已经是成为了众多半导体厂商供应链多元化布局的一大战略要地。三个阶段,五个目标具体来说,由国际贸易及工业部(MITI)牵头的国家半导体战略(NSS)将会分三个阶段:第一阶段,利用马来西亚现有的行业产能和能力来支持外包半导体组装和测试(OSAT)的现代化。第二阶段,将专注于尖端逻辑和存储芯片的设计、制造和测试。第三阶段:将继续加倍投入,以支持马来西亚企业发展成为世界一流的半导体设计、先进封装和制造设备公司。在这三个阶段计划的基础上,马来西亚政府也提出了五个目标:1、吸引了5000亿令吉的投资,专注于IC设计、先进封装和晶圆制造。其中,马来西亚国内直接投资(DDI)的主要重点将放在集成电路(IC)设计、先进封装和半导体制造设备上。而外国直接投资(FDI)将以晶圆制造和半导体制造设备为重点的。值得一提的是,为了发展本土IC设计产业,马来西亚还在雪兰莪和槟城推出了两个IC设计园区,以提升该国在设计领域的全球地位,促进经济增长,并创造高价值就业机会。雪兰莪 IC 设计园将提升马来西亚在全球行业中的地位,而槟城峇六拜工业园占地 100 万平方英尺的全新 IC 设计和数字园则凸显了该州对创新、行业增长和人才吸引的承诺。2、建立至少 10 家本土芯片设计和先进封装公司,营收在 10 亿至 47 亿令吉之间,以及至少 100 家本土半导体相关公司,营收接近 10 亿令吉,为马来西亚工人创造更高的工资。3、与世界一流的大学和企业研发合作,将马来西亚发展成为全球半导体研发中心。4、培训和提高60000名马来西亚高技能工程师的技能。5、分配至少250亿令吉的财政拨款支持用于定向激励。安华还表示,为重申大马致力于成为半导体行业全球领导者的承诺,国家半导体战略任务组(NSSTF)将与国际贸易与工业部(MITI)下属的工程、科学与技术合作研究机构(Crest)作为秘书处,专注于促进创新、提高研发能力,并推动半导体技术商业化。“为了保持灵活性和敏捷性,NSS 将是一份动态文件,并根据需要不断发展,但我们始终坚定不移地希望通过我们的半导体产业,让马来西亚成为全球主要参与者,为所有人提供可访问的技术。”安瓦尔补充道。中美芯片战之下,马来西亚半导体产业发展加速虽然马来西亚并不属于传统意义上的科技强国,但是马来西亚却是世界前七大半导体产品出口地之一,也是全球半导体封装测试的主要中心之一。根据United Nations的数据显示,自2002年以来,马来西亚的集成电路出口份额一直是处于全球前列的位置。2018年马来西亚的集成电路出口份额已经超过了日本,与美国相当。根据资料显示,东南亚在全球封装测试市场的占有率为27%,而其中仅马来西亚就贡献了其中的一半(13%)。根据statista的数据显示,自2015年以来,马来西亚的半导体封测收入呈现出持续快速的增长,2019年已经达到了287.6亿美元。当然,除了封测之外,马来西亚也有一些在当地设计生产和销售的IDM公司。据不完全统计,目前,马来西亚有超过50家大型半导体公司,其中大多数是跨国公司(MNCs),包括英特尔、AMD、恩智浦、德州仪器、ASE、英飞凌、意法半导体、瑞萨、安世半导体、日月光、X-FAB、AVX、佳美工(Nippon Chemicon)、松下、村田等,大都在当地建立了自己的封测或元器件制造工厂。除了国际厂商以外,马来西亚本土的封测厂还包括Inari、Unisem(2018年已被华天科技以29.92亿元收购)等。另外,中国台湾地区的被动元件厂商华新科、旺诠、奇力新、广宇,在马来西亚也均设有工厂。近年来,随着新冠疫情、中美贸易战的影响,以及美国出台一系列出口管制政策限制中国半导体产业的发展,由此也引发了全球半导体供应链的重组,越来越多的半导体厂商开始加码投资马来西亚这个拥有半导体制造业集群优势的国家。比如,在2021年12月,英特尔宣布在马来西亚投资64.6亿美元,扩大其在槟城和吉打州先进封装能力;2021年12月,日本的罗姆半导体宣布在马来西亚的子公司投建新厂房,以扩大模拟LSI和晶体管的产能;2021年12月,安世半导体马来西亚芙蓉后端工厂开工建设,计划将该工厂的功率半导体产能提升85%;2022年2月,英飞凌宣布斥资逾20 亿欧元,在马来西亚居林工厂建造第三个厂区,用于生产碳化硅(SiC)和氮化镓(GaN)功率半导体产品;2022年5月,马来西亚科技公司Dagang Nexchange对外宣布,将与鸿海集团子公司BIH签订合作备忘录(MOU),双方将成立合资公司,在马来西亚兴建与营运一座12吋晶圆厂,月产能规划4万片,锁定28/40nm成熟制程;2022年11月,中国台湾封测大厂日月光宣布,在马来西亚槟城举行新的半导体封测厂(四厂及五厂)动工,新厂房计划于2025年完工。日月光表示,将在5年内投资3亿美金,扩大马来西亚生产厂房,采购先进设备,训练培养更多工程人才。2023年6月,德州仪器宣布,将投资额高达146亿令吉,分别在马来西亚吉隆坡和马六甲各自兴建一座半导体封测厂,预计这两座工厂最早将于2025年投产;2023年8月,博世宣布已在马来西亚槟城开设了一个新的芯片和传感器测试中心,耗资约6500万欧元。并计划在下一个十年中期,在此基础上再投资 2.85 亿欧元。根据FT的报道,2023年马来西亚的外国直接投资总额达到了128亿美元,超过了2013年至2020年七年的总和。最新的数据显示,马来西亚的电气和电子行业产值占据了全球后端半导体产业的 13%,在该国出口额当中的占比高达 40%,并在 2023 年对该国 GDP 贡献占比约 5.8%。为了发展半导体产业,马来西亚此前就推动了新的工业总体规划(NIMP)2030,希望发展更多的前端制造能力,例如集成电路设计、晶圆制造、半导体机械和设备制造。而此次马来西亚出台“国家半导体产业战略”则是进一步细化了该规划的实施步骤,并提供了资金支持。“今天,我将我们国家作为最中立、最不结盟的半导体生产地点,以帮助建立更安全、更有弹性的全球半导体供应链。”安瓦尔强调:“无论您是投资者、主权财富基金、制造商、工程师还是政策制定者,我们都欢迎您加入我们的变革之旅,共同为马来西亚和世界打造更具包容性、更具弹性和更具影响力的半导体未来。”
  • 半导体产业迎爆发新风口,存储芯片厂商重金“下注”
    自ChatGPT发布以来,人工智能AI迅速席卷全球,引发了新一轮的科技革命。与此同时,随着HBM市场需求持续火爆,以SK海力士、三星、美光等为代表的半导体存储芯片厂商亦抓住机会转变赛道,开启了新一轮的市场争夺战。投资约748亿美元,存储芯片厂商SK海力士押注AI近日,据彭博社及路透社等外媒报道,半导体存储芯片厂商SK海力士计划投资103万亿韩元(约748亿美元)发展芯片业务,重点关注人工智能和半导体领域。报道称,韩国SK集团上周日在一份申明中表示,旗下存储芯片厂商SK海力士计划在2028年前投资103万亿韩元发展芯片业务。声明进一步指出,SK海力士计划到2026年确保80万亿韩元(约600亿美元)的资金,将用于投资高带宽内存芯片(HBM),以及为股东回报提供资金,并对超过175家的子公司进行精简。经过优化,SK海力士的HBM芯片可与英伟达的人工智能加速器配合使用。而作为押注人工智能的一部分,SK电讯公司(SK Telecom)和SK宽带公司(SK Broadband)将投资3.4万亿韩元用于数据中心业务。此外,为推动人工智能和芯片业务的发展,SK集团从周一开始成立一个专门负责半导体的委员会,以增强与行业相关附属公司之间的协同作用。该委员会将隶属于该集团的最高决策机构SK Supex委员会,并且已任命SK海力士首席执行官郭鲁正为集团负责人。SK集团表示,将通过专注HBM芯片、AI数据中心及个性化AI助手等AI价值链服务提高竞争力。顺应AI潮流,HBM“霸榜”DRAM在AI服务器出货带动下,HBM占DRAM比重也在快速提升。受惠于HBM销售单价较传统型DRAM高出数倍,相较DDR5价差大约五倍,加上AI芯片相关产品迭代也促使HBM单机搭载容量扩大,推动2023~2025年间HBM之于DRAM产能及产值占比均大幅向上。根据集邦咨询此前的数据,2023~2024年HBM占DRAM总产能分别为2%及5%,至2025年占比预估将超过10%。产值方面,2024年起HBM之于DRAM总产值预估可逾20%,至2025年占比有机会逾30%。经过多轮技术迭代,目前HBM已进阶到HBM3e赛道,而随着NVIDIA及AMD AI发展加速,HBM3e也将逐渐成为市场主流。集邦咨询资深研究副总经理吴雅婷此前在集邦咨询半导体产业高层论坛上表示,NVIDIA的Blackwell与AMD MI350/MI375全采用HBM3e,特别集中12hi产品,最高容量上达288GB,将有助于延续单一位元均价的持续上升,且于2025年成为市场主流。整体来看,目前由于HBM3平均销售单价远高于HBM2e与HBM2,也因此将助力原厂HBM领域营收进一步增长。集邦咨询此前预计,2024年全球HBM位元供给有望年增105%,同时实现营收2024年达89亿美元,同比增长127%;原厂产能被提前锁定,明年HBM价格初步调涨5~10%由于HBM占DRAM比重增加,加上通用型服务器需求复苏,推升供应商延续涨价态势。吴雅婷5月初表示,厂商今年第二季已开始针对2025年HBM进行议价,不过受限于DRAM总产能有限,为避免产能排挤效应,供应商已经初步调涨5~10%,包含HBM2e,HBM3与HBM3e。在强大的市场需求推动下,各大厂商2024年的HBM产能已被提前订购一空。此外,美光近日还表示,内存芯片供不应求,其2024-2025年的HBM内存芯片已经售罄。事实上,不止美光,SK海力士等厂商2025年订单也已接近满载。据存储芯片供应链近日透露,上游原厂HBM的订单能见度可达2026年一季度。2022年HBM市场份额中,SK海力士一家独大,独占50%,三星约40%,美光10%。为应对AI人工智能带来的巨大前景,SK海力士、美光、三星都在通过增加投资额、兴建工厂等一系列举措全力提升HBM产能。例如美光为提升HBM市场份额,此前已经宣布了多起建厂计划扩建HBM产能。美光的目标是,计划在2025年将HBM市占率提高两倍以上,达到20%左右。至于三星,该公司副总裁兼DRAM产品和技术主管Hwang Sang-joong今年3月曾表示,预计今年HBM产量将增至去年的2.9倍,2026年HBM出货量将是2023年产量的13.8倍,到2028年,HBM年产量将进一步增至2023年的23.1倍。
  • 要芯片不要“芯骗”:用黑名单制度约束半导体骗子
    近几年半导体烂尾项目不少,原因有很多,但总体来看骗子功劳不小。按理来说,这种祸害产业、鲸吞国资的骗子应该“老鼠过街,人人喊打”,但可惜的是,不少骗子却能“事了拂衣去,深藏钱与名”,甚至,骗完上家骗下家,彼地的眼中钉却能成为此地的座上宾,“芯骗”成了最暴利的半导体细分产业。 为预防芯骗的“春风吹又生”,芯谋研究建议,建立芯片产业的黑名单制度,约束为害行业的产业骗子,从源头上为产业发展排雷护航。 半导体产业骗子猖獗有其客观和主观因素:一,国家高度关注半导体产业,倾注了大量资源,对骗子来说有利可图;二,地方政府发展压力巨大,积极性又高,往往对投重金的招商引资项目有时容易急于求成;三,近几年因为国际形势的敏感,以及半导体产业的特殊性,有很多信息和措施需要高度保密,所以也给了骗子们施展的空间;四,被骗的地方政府不愿意对外界透露受骗的细节,新的地方政府认为橘生淮北为枳,生淮南则为橘,对自己有过高估计,以为只要认真做事就不会有问题,不太愿意去核实。这几方面综合起来就给了骗子可乘之机,几乎到哪都能够谈笑风生。骗子几乎是人世间最古老的职业,骗子的多寡也反映着该领域的景气程度,什么行业热,那里的骗子就多。 但我们可以用制度化的手段,来约束行业里的骗子。尤其在地方半导体项目启动之前,防骗是重点要做的功课,这可能决定着项目的成败。 半导体行业骗子从行事风格上来区分,主要有坐寇、流寇,现在又派生出一种似商非商,似寇非寇的新品种,下面我们逐一来看。坐寇,就是一些曾经的产业资深人士,他们虽然退出江湖一线很久,却利用之前在行业积累的名望,堂堂皇皇地亮明招牌行骗,或者游走在灰色地带。他们不闪躲、不隐匿,坐不改姓,行不更名,只是上阵之前在梳妆台前涂脂抹粉,把履历篡改得花枝招展。或者自诩为公司的创始人,或者将原来团队的集体功绩据为己有,自封为全球大厂带头人、核心骨干,一人研发的芯片卖了数亿,一人攻城拔寨,战无不胜!然后这些产业“领袖”南征北战,四处挂单,不顾自身能力和精力不济,什么项目都要介入,什么公司都能参与。或许他们不是赤裸裸地去骗,还是会输出一些低质产品,但是他们不顾项目的现实情况,不管项目未来的死活,唯一目标是推动项目上马。只管项目能够上马,大炮一响,黄金万两。由于他们自带光环,很容易取信于地方政府,造成的损失也大于寻常骗子。流寇,这是一群神秘人,从不以真实面目示人,身份证可能都是假的,他们最大的特点是打一枪换一个地方。他们自夸“背景”强大,既可登九天揽月,也能入五洋捉鳖,海里海外通吃。在他们口中,上能搞定项目审核,下能拉来巨额资金。背上插满旗帜,名片一页都印不下。由于目前半导体产业外部局势复杂,政策需要保密,企业需要低调,难免出现信息不对称,客观上为这伙骗子提供了腾挪空间。再加上地方竞争激烈,企业竞争也激烈,让这些骗子屡屡得手。他们既能骗来产业高端人才,也能骗转地方政府,十分擅长我有醋,专家有饺子,地方有茅台,纳税人来买单的把戏。纵横南北,无往不利,骗翻了东家,骗塌了西家。 这两大寇说到底还是游击队式的游兵散勇,在这两大寇之外,还派生出一种建制化的品种,近几年隐隐约约要开山立派的势头,它们有正经营生,也正常营业,但在这个幌子之下,列堂堂之阵,行鸡鸣狗盗之事,对地方敲骨吸髓,予取予夺。 某些骗子,尤其是境外骗子,吃透了国内国际形势,吃透了地方和部委的心理,打着投资建厂的旗号到地方投资。一旦开张,就狮子大开口,提非分要求,做出格事情,一旦地方按章办事,没有满足他们的要求,就找外事部门告状。外事无小事,地方政府在看到外事部门的问询或者境外公司的律师函时,往往息事宁人,给钱了之。并且地方政府往往为了和谐稳定,为地方营商声誉计,地方政府不得不满足他们的所有要求。这种项目算计周到,即便地方倾尽全力支持,最后基本还是两手空空,为地方发展背上沉重包袱。以上大盗巨寇纵然劣迹斑斑,但是他们有相当强的风险意识,和反侦察能力,往往无法用法律制裁他们。而相关受害方的沉默与息事宁人,又“保护”了这类骗子。我们怎么限制和防范这些大盗巨寇?芯谋研究建议,介于很多半导体项目由地方政府主导,针对此类项目,我们可以出台行政规范来管理。我们可以效仿金融行业从业人员禁入规则,建立《半导体产业从业人员职业操守和行为准则》,明确从业人员的职业操守、行为规范以及惩戒制度,确立行业从业人员的“黑名单”、“灰名单”制度。具体来说,要审计烂尾项目的股东、创始人、核心团队,若发现他们行止不端,应根据其情节严重程度,列入“黑名单”或“灰名单”。对于因严重违规者纳入“黑名单”者,严格禁止其进入政府主导半导体项目;对于违规人员,纳入“灰名单”者,限制参与相关项目。世上的骗子那么多,黑名单的办法能打扫得过来吗?答案是肯定的,半导体产业高度专业,进入门槛较高,行骗的门槛也高,骗子基本就是那些熟面孔,骗子不容易速成,清扫一批就少一批。同时通过定期向业内通报《黑名单》、《灰名单》,警示和威慑从业人员,防止出现新的骗子。 总之,如果黑名单制造能够出台,既有效打击骗子,又让地方政府避开雷区,又能让业内人士走正道做正事,不敢弃良从骗。假以时日,即便行业再繁荣,骗子遍地走的局面一定能改写。
  • 超高灵敏度芯片半导体器件失效分析显微镜
    新一代超高灵敏度半导体芯片失效分析热成像显微镜日前在美国问世,于2014年3月18日慕尼黑上海电子展上在大中华区发布并在中国大陆,台湾和香港同步上市,由孚光精仪公司负责该区域销售和售后服务。新一代热发射显微镜采用锁相热成型技术,可探测到1mK (0.001°C) 的器件温度变化,可探测到 100 μW 的功率变化。据悉,这种热发射显微镜可快速定位半导体器件的温度异常点,从而找到漏电等失效点位置。这种热发射显微镜不需要对器件表面处理,可对裸器件和封装器件失效分析,也可定位SMD器件的低功率位置,比如电容泄露测试。除了失效分析之外,这套热发射显微镜还具有器件的真实温度测量功能,以及结点温度,热阻和芯片黏着 Die Attach分析功能。详情浏览:http://www.f-opt.cn/rechengxiang/hongwaixianweijing.html应用领域:器件漏电分析栅极和漏极之间的电阻短路分析封装器件的复合模具短路分析Latch-up点定位金属性短路分析缺陷晶体管和二极管定位分析氧化层击穿SMD元件漏电分析特色和功能超高灵敏度失效点定位堆叠芯片的缺陷深度分析真实温度测量结点温度测量封装和裸露器件分析正面和背面分析检测芯片粘接问题
  • 长光华芯即将登陆科创板 高功率半导体激光芯片前景可期
    3月15日,苏州长光华芯光电技术股份有限公司(以下简称“长光华芯”)刊登《发行安排及初步询价公告》《招股意向书》等公告文件,这意味着该公司已经启动发行,即将登陆科创板,将成为A股第一家半导体激光芯片上市公司。 长光华芯本次IPO发行募集资金重点投向科技创新领域的项目为“高功率激光芯片、器件、模块产能扩充项目”“垂直腔面发射半导体激光器(VCSEL)及光通讯激光芯片产业化项目”及“研发中心建设项目”。 其中,高功率激光芯片、器件、模块产能扩充项目总投资5.99亿元,包括购置厂房、MOCVD (外延生长)、流片、巴条上盘预排机、激光划片、自动粘片机等相关设备,整体扩大公司高功率半导体激光芯片、器件、模块产品的产能规模。VCSEL及光通讯激光芯片产业化项目投资3.05亿元,项目有利于实现VCSEL芯片和光通讯芯片产业化,拓展至消费电子、汽车雷达、光通讯等更多应用领域,该项目的实施能够丰富公司原有产品结构,为公司提供新的增长点。借助登陆资本市场的契机,长光华芯将进一步加大研发投入,对半导体激光芯片及高效泵浦技术、光纤耦合半导体激光器泵浦源模块技术和大功率高可靠性半导体激光器封装技术等激光领域前沿技术进行研究,打造可持续领先的研发能力和新方向拓展能力,助力高功率激光技术的创新发展。据悉,长光华芯聚焦半导体激光行业,始终专注于半导体激光芯片、器件及模块等激光行业核心元器件的研发、制造及销售,紧跟下游市场发展趋势,不断创新生产工艺,布局产品线,已形成由半导体激光芯片、器件、模块及直接半导体激光器构成的四大类、多系列产品矩阵,为半导体激光行业的垂直产业链公司。得益于前期大量的研发投入,2021年长光华芯实现营业收入4.29亿元、净利润1.15亿元,较2020年增长率分别达到73.59%和340.49%。
  • 半导体工艺监测中的光谱应用,助力提升芯片质量和产量
    根据检测工艺所处的环节,IC集成电路检测被分为设计验证、前道量检测和后道检测。前道量测、检测均会用到光学技术和电子束技术,其中光学量测通过分析光的反射、衍射光谱间接进行测量,其优点是速度快、分辨率高、非破坏性。后道检测工艺是芯片生产线的“质检员”,根据工艺在封装环节的前后顺序,后道检测可以分为CP测试和FT测试。在以上测试中,光谱仪可以用于膜厚测量、蚀刻终点监控等工艺中。(1)膜厚测量半导体集成电路的生产以数十次至数百次的镀膜、光刻、蚀刻、去膜、平坦等为主要工序,膜层的厚度、均匀性等直接影响芯片的质量和产量,在加工中必须不断地检测及控制膜层的厚度。光学薄膜测厚仪是半导体生产流程中必不可少的设备之一,用于对芯片晶圆及相关半导体材料的镀膜厚度等进行检测。半导体光学薄膜测厚仪技术主要有光谱反射仪和椭偏仪两种。椭偏仪考虑了光的极化,采用P波和S偏振反射光之间的相位差异,适用于非常薄的薄膜,并可直接测试N,K值。光谱反射仪虽然没有椭偏仪的这些性能,但也能测量数纳米以下的薄膜厚度,测量精度高,而且测量速度较快。基于光波的干涉现象,光束照射在薄膜表面,由于入射介质、薄膜材料和基底材料具有不同的折射率值和消光系数值,使得光束在透明/半透明薄膜的上下表面发生反射,反射光波相互干涉,从而形成干涉光,这些干涉光在不同相位处的强度将随着薄膜的厚度发生变化。通过对干涉光的检测,结合适当的光学模型即可计算得到薄膜的厚度。海洋光学(OceanInsight)膜厚仪检测系统,配置有采样平台、UV-VIS反射探头,配置如下。图1:薄膜厚度测量系统配置(2)终点监控在基于等离子体的蚀刻工艺中,等离子体监测对工艺控制很重要。晶圆是用光刻技术制造和操作的,蚀刻是这一过程的主要部分,在这一过程中,材料可以被分层到一个非常具体的厚度。当这些层在晶圆表面被蚀刻时,等离子体监测被用来跟踪晶圆层的蚀刻,并确定等离子体何时完全蚀刻了一个特定的层并到达下一个层。通过监测等离子体在蚀刻过程中产生的发射线,可以精确跟踪蚀刻过程。这种终点检测对于使用基于等离子体的蚀刻工艺的半导体材料生产至关重要。等离子体监测可以通过灵活的模块化设置完成,使用高分辨率光谱仪,如海洋光学的HR或Maya2000Pro系列(后者是检测UV气体的一个很好的选择)。对于模块化设置,HR光谱仪可以与抗曝光纤相结合,以获得在等离子体中形成的定性发射数据。从等离子体室中形成的等离子体中获取定性发射数据。如果需要定量测量,用户可以增加一个光谱库来比较数据,并快速识别未知的发射线、峰和波段。图2:模块化的光谱仪设置可以配置为真空室中的等离子体测量。图3:通过真空室窗口测量氩气等离子体的发射。紫外-可见-近红外光谱是测量等离子体发射的有力方法,以实现元素分析和基于等离子体过程的精确控制。这些数据说明了模块化光谱法对等离子体监测的能力。Maya2000Pro在紫外光下有很好的响应。另外,光谱仪和子系统可以被集成到其他设备中,并与机器学习工具相结合,以实现对等离子体室条件更复杂的控制。在半导体领域中的光谱应用是海洋光学的未来业务侧重点之一。从OceanOptics更名为OceanInsight,也是海洋光学从光谱产品生产商转型为光谱解决方案提供商战略调整的开始。海洋光学不仅继续丰富扩充光传感产品线,且增强支持和服务能力,为需要定制方案的客户提供量身定制的系统化解决方案和应用指导。作为海洋光学官方授权合作伙伴,爱蛙科技(iFrogTechnology)致力于与海洋光学携手共同帮助客户面对问题、探索未来课题,为打造量身定制的光谱解决方案而努力。本文资料来源-海洋光学/编辑整理-爱蛙科技关于海洋光学海洋光学(OceanInsight)作为世界领先的光学解决方案提供商,应用于半导体、照明及显示、工业控制、环境监测、生命科学生物、医药研究、教育等领域。其产品包括光谱仪、化学传感器、计量检测设备、光纤、透镜等。作为光纤光谱仪的发明者,如今海洋光学在全球已售出超过40万套的光纤光谱仪。关于爱蛙科技爱蛙科技(iFrogTechnology)是海洋光学官方授权合作伙伴,提供光谱分析仪器销售、租赁、维护,以及解决方案定制、软件开发在内的全链条一站式精准服务。
  • 首次!中国科大实现硅基半导体量子芯片的自旋调控
    中国科大郭光灿院士团队在硅基半导体锗纳米线量子芯片研究中取得重要进展。该团队郭国平、李海欧等人与中科院物理所张建军和本源量子计算有限公司合作,首次在硅基锗空穴量子点中实现朗道g因子张量和自旋轨道耦合场方向的测量与调控,对于该体系更好地实现自旋量子比特操控及寻找马约拉纳费米子有着重要的指导意义。研究成果以“Anisotropicg-factor and Spin-Orbit Field in a Germanium Hut Wire Double Quantum Dot”为题,发表在5月12日出版的国际纳米器件物理知名期刊《Nano Letters》上。近年来对自旋轨道耦合的研究一直是半导体量子计算和拓扑量子计算研究的热点。半导体材料中的自旋轨道相互作用能够使粒子的自旋与轨道这两个自由度耦合在一起,该机制在实现自旋电子学器件、自旋量子比特操控及寻找马约拉纳费米子中起着举足轻重的作用。在半导体自旋量子比特操控研究中,现有的自旋量子比特的操控方式依赖于样品制备中集成的微波天线或微磁体这些可以产生人造调制磁场的结构,这使得量子比特大规模扩展时在可寻址和芯片结构制备方面受到制约。同时,微磁体结构会使自旋量子比特感受到更强的电荷噪声,导致自旋量子比特退相干时间的降低。因此,一种可行的解决方案是用材料中存在的自旋轨道耦合来实现全电学的自旋量子比特操控。具体对于一维硅基锗纳米线空穴量子点而言,由于空穴载流子体系中本身存在着很强的自旋轨道耦合,我们可以利用电偶极自旋共振技术,通过施加交变电场实现对自旋量子比特的全电学控制,大大简化了量子比特的制备工艺,有利于实现硅基量子计算自旋比特单元的二维扩展。在自旋轨道耦合的电偶极自旋共振操控方式下,比特的操控速率与自旋轨道耦合强度成正比,因此我们可以通过改变外加电场的方式来增强自旋轨道耦合强度从而实现更快的比特操控速率。除此之外,自旋轨道耦合场的方向也会影响自旋量子比特的操控速率以及比特初始化与读取的保真度,因此在利用自旋轨道耦合实现自旋量子比特操控时,确定和调控自旋轨道耦合场的方向显得尤为重要。图1. 硅基锗纳米线空穴双量子点中g因子张量及自旋轨道耦合场方向。李海欧、郭国平等人在制备的高质量的硅基锗空穴载流子双量子点中观察到了自旋阻塞效应,并在自旋阻塞区域测量了由自旋弛豫引起的漏电流大小随磁场大小及磁场方向的变化关系,通过理论分析,研究人员得到了该体系具有强各向异性的g因子张量,同时确定了自旋轨道耦合场的方向位于锗纳米线衬底面内并与锗纳米线方向成59°,说明体系中除了存在垂直于锗纳米线的Rashba自旋轨道耦合,还存在着沿着纳米线方向的可能是由界面不对称性引起的Dresselhaus自旋轨道耦合。我们可以通过改变纳米线的生长方向使得上述两种自旋轨道耦合方向相反大小相等,从而实现自旋轨道耦合的开关,当体系处于“sweet spot”(即自旋轨道耦合完全关闭)时,由自旋轨道耦合引起的退相干过程会大幅度地被抑制,自旋量子比特的退相干时间会得到有效地延长。这一发现对该体系在自旋量子比特制备与操控研究中,在保持超快比特操控速率的同时进一步延长比特的退相干时间提供了新的思路,为全电控规模化硅基自旋量子比特芯片研究奠定了物理基础。中科院量子信息重点实验室郭国平教授、李海欧研究员为论文共同通讯作者,中科院量子信息重点实验室博士生张庭、刘赫以及中科院物理研究所博士后高飞为论文共同第一作者。该工作得到了科技部、国家基金委、中国科学院、安徽省以及中国科学技术大学的资助。论文链接:https://pubs.acs.org/doi/10.1021/acs.nanolett.1c00263
  • 西安交大杨树明团队精进铸就半导体芯片检测“大国重器”
    近日,中国科协发布了首批“科创中国”创新基地认定名单,西安交通大学牵头组织建设的“半导体芯片检测技术创新基地”入选产学研协作类创新基地。该创新基地将聚焦国家急需解决的半导体芯片检测领域关键技术及装备,通过与半导体芯片制造企业建立产学研合作,推动科技成果转化及产业化进程。“从国家重大需求出发,在半导体芯片检测技术和设备方面,通过产学研协作,努力解决半导体芯片检测领域的技术难题,这是一件特别有价值的事情,值得长期坚持做。”担任创新基地负责人的西安交大教授杨树明对采访记者说。杨树明教授半导体芯片是二十世纪最伟大的发明之一,促进人类进入到了信息时代,可应用于所有的电子产品,在我们的生活里处处可见。而集成电路芯片是信息时代的基石,集成电路制造技术代表着当今世界超精密制造的最高水平,集成电路产业已成为影响社会、经济和国防安全保障与综合竞争力的战略性产业。杨树明教授介绍,2014年出台的《国家集成电路产业发展推进纲要》,将半导体产业新技术研发提升至国家战略高度。近些年,随着物联网、区块链、汽车电子、5G、AR/VR及AI等创新应用发展,半导体行业一直保持高景气度。但长期以来,我国高端芯片检测设备主要依赖进口。数据显示,全球半导体芯片高端检测设备市场基本由美国、日本等国外公司垄断,尽快实现芯片高端检测设备国产化被称为是发展集成电路产业的关键之一,这关系到我国能否拥有产业自主权。“在半导体制造过程中,芯片检测则是重中之重,是提升产品良率和效率的重要环节。并且,随着半导体芯片技术的不断发展,其制作工艺越来越复杂,半导体芯片逐步向尺寸微缩方向发展,使得测试的复杂度不断升级,这些都对检测设备的检测精度提出了新的更高要求。而目前国内半导体行业技术积累与国外先进水平差距仍然较大,不能完全满足国内半导体产业现阶段的发展需求。”杨树明教授说。国家曾对于半导体设备国产化提出明确要求,在 2025年之前,20纳米至14纳米工艺设备国产化率达到30%,实现浸没式光刻机国产化;到 2030 年,实现18英寸工艺设备、EUV 光刻机、封测设备的国产化。“提升‘核芯技术’自主化率、实现国产半导体高端设备替代进口,这无疑对于国内相关半导体设备研发领域和制造企业来说是重大机遇,并面临挑战。”杨树明教授认为。(杨树明教授和团队成员在讨论工作)我国在半导体芯片高端检测设备领域的迫切需求,正是西安交大建立“半导体芯片检测技术创新基地”的初衷。创新基地将高校、科研院所的前沿基础研究和企业的实际需要相结合,实现资源共享和优势互补,探索产学研可持续协作机制,建立成为产学研协作相结合的创新平台,为社会经济高质量发展提供动能。创新基地凭借西安交通大学是国家教育部直属综合研究型重点大学,位列国家“双一流”,有中国西部科技创新港,正在布局建设世界一流大科学装置群和新型协同创新研究实体,瞄准未来科学、技术和现代产业,政产学研形成紧密链条;并依托学科与人才培养及机械制造系统工程国家重点实验室的微纳加工和测试条件等优势资源;同时,华中科技大学、南开大学、复旦大学、中国科学院长春光学精密机械与物理研究所提供技术支持。据了解,在入选“科创中国”创新基地之前,杨树明教授带领的科研团队开展了多项产学研合作,并取得了一些列成果。如:通过西安交大国家技术转移中心与江苏宏芯亿泰智能装备有限公司等单位进行合作,将企业的实际需求与科研工作有机结合,开发了芯片关键尺寸测量装备,推动科技成果转化和产业化进程;与上海隐冠半导体有限公司等企业建立了合作育人基地和长期科研合作,促进企业与高校在科研领域的协同发展。(半导体芯片检测技术创新基地)杨树明教授是国家杰出青年科学基金获得者、国家重点研发计划项目首席、陕西省重点科技创新团队带头人、国际纳米制造学会会士等,专注于微纳制造与测量领域的科研工作已有20多年。承担国家及省部级重大重点项目20余项,发表学术论文170多篇;授权/公开国际国内发明专利90多件,获省部级和国家行业学会等科技奖励7项;担任亚洲精密工程与纳米技术学会理事、中国计量测试学会常务理事等;在国际学术会议应邀做大会报告和特邀报告30余次,还是JMS、IJPEM-GT、IJRAT、NMME、MST、PE、IJAMT、Photonics等国际期刊编委和客座编委。基于长期的产学研协作实践,杨树明教授负责完成的“大长径比纳米探针可控制备技术及应用”,入选2020年中国科协首届“科创中国”先导技术榜单。提出的“如何解决三维半导体芯片中纳米结构测量难题”入选中国科协2021十大工程技术难题。“积厚成器,对于半导体芯片检测来说,我们不仅要关注单项高端检测设备的研发,还要针对芯片制造技术的发展,不断精进创新,形成系列成套设备。”杨树明告诉记者,未来,创新基地将积极按照中国科协相关要求,主动服务科技企业,切实推动创新基地融入“科创中国”创新网络,加强与其他高校、科研院所、企业等的合作、交流、对接、验证和转化工作,预计到2024年底,创新基地达到一定规模。大国重器,中国半导体芯片检测高端装备任重道远,“科创中国”半导体芯片检测技术创新基地正迈向新征程。
  • 基于半导体芯片测序仪的无创产前诊断方法问世
    5日,美国和中国研究人员在美国《国家科学院学报》(PNAS)上报告说,他们开发出一种基于半导体芯片测序仪的无创产前诊断方法,可以根据孕妇血样检测出胎儿是否患唐氏综合征等与染色体异常有关的先天缺陷。   对于有必要接受染色体异常检查的孕妇,传统诊断多采用羊膜穿刺或绒毛膜采样的方法,大多在怀孕12周左右进行。这些介入性方法有两大缺点:一是时间长,需要2周到3周才能出结果。二是穿刺针有不到1%的几率扎到胎儿,可能引发感染甚至流产。   由加州大学圣迭戈分校、广州医科大学、广东省妇幼保健院与广州爱健生物技术公司等机构研发的新诊断方法则基于新型高通量测序技术,只需抽取孕妇2毫升血样,就能诊断与染色体异常有关的先天缺陷,包括最常见的唐氏综合征、导致形体和器官多种异常的爱德华氏综合征等。   这种高通量测序技术,能一次对几十万到几百万条DNA(脱氧核糖核酸)进行序列测定。这项研究的负责人、加州大学圣迭戈分校遗传医学研究所所长张康教授表示,新诊断方法速度快,可把诊断提前到怀孕第9周,4天便能出结果,准确率也非常高。   张康表示,其所用的测序仪器基于半导体芯片,每次工作只需15个样本,测序时间只有2.5小时,可由医院直接完成所有检测,能提高诊断速度,降低检测成本。   张康还认为,随着大龄母亲越来越多,新生儿出生缺陷几率呈上升趋势。上述新技术利用母亲的少量外周血,就可以把胎儿染色体缺陷准确检测出来,有助于降低出生缺陷对于社会和家庭造成的负担。
  • 韩美加强半导体供应链联盟,将在硅谷设立AI芯片创新中心
    迎战人工智能(AI)产业热潮,韩国与美国合作在硅谷设立人工智能芯片创新中心,协助韩国芯片设计公司在美国发展。韩美扩大双边合作,确保更好的芯片供应链,韩国芯片设计商将进军美国硅谷。两国同意于今年第三季在圣荷西设立人工智能芯片创新中心。韩国产业通商资源部周四在华盛顿举行的美韩供应链和商业对话半导体论坛上宣布这一消息。该中心目前正接收当地芯片设计商的申请。活动期间,韩国产业通商资源部部长和美国商务部长雷蒙多,以及三星电子、SK海力士、英特尔和IBM等领先芯片制造商的主管就扩大该行业不同领域的合作分享想法。韩国半导体产业协会和美国半导体产业协会也签署谅解备忘录,定期举办论坛,寻求包括人工智能在内的新兴领域的商业合作伙伴关系。该中心将促进两国之间的硕士或博士人才交流,解决芯片产业的劳动力短缺问题,并将推动联合研发计划。目前在耶鲁大学、约翰霍普金斯大学和普渡大学等开设培训课程。
  • 江西联智半导体集成电路芯片研发及产业化项目开工
    “南昌高新区”微信公众号消息,9月29日,南昌市2022年第三季度重大项目集中开工。此次集中开工重大项目141个,总投资额934.27亿元。此次重大项目集中开工活动主会场设在南昌高新区江西联智半导体集成电路芯片研发及产业化项目建设地。江西联智半导体集成电路芯片研发及产业化项目位于南昌高新区创新二路与艾溪湖四路交叉口,由国家高新技术企业、江西省专精特新中小企业——江西联智集成电路有限公司投资建设。项目总投资20亿元,占地32.7亩,总建筑面积5万平方米,分二期建设。目前开工的一期项目将建设半导体集成电路模拟芯片封测生产线,预计将形成年产1.5亿颗半导体集成电路模拟芯片的研发制造能力。后续建设的二期项目将研发更高功率有线无线融合一体化电源管理芯片和新一代A4WP远距离无线充电芯,同时布局物联网IoT芯片市场,抢占物联网芯片市场先机,有望实现半导体集成电路模拟芯片的研发、生产能力的再翻番,成为具有国际竞争力的集成电路企业。
  • 芯片制造设备商前往印度建立基地,东京电子、应用材料等将参加新德里半导体展
    芯片制造设备行业正在转向在印度建立运营基地,因为在中美关系紧张的情况下,印度正成为有希望的替代国家/地区选择。国际半导体产业协会SEMI将于今年9月在新德里附近首次举办印度半导体展。该展会已在美国、日本、欧洲、中国台湾、韩国、中国大陆和东南亚举行。东京电子、Disco(迪斯科)、佳能、东京精密和大福(Daifuku)等日本公司计划参加。东京电子将展示用于晶圆沉积、涂层和其他芯片制造工艺前端步骤的设备。Disco预计将展示用于后端工艺的设备,如研磨和切割晶圆以形成芯片。来自美国的应用材料、泛林集团和KLA(科磊)也将有大型展位。由于对水电等基础设施的担忧,印度尚未吸引许多半导体制造厂或晶圆厂。该国在芯片设备市场的份额被认为不到1%,与中国大陆34%的市场份额相比差距很大。然而,近年来,由于中美关系紧张,国际供应链已开始向其他地区转移。苹果正在将iPhone和其他产品的生产转移到印度。随着供应商涌向智能手机、个人电脑和其他成品生产地,分析师普遍认为印度市场将迎来强劲增长。印度企业集团塔塔集团计划在古吉拉特邦建造一座半导体工厂,技术由力积电提供。这很可能是印度第一家前端工艺芯片制造厂。“到2029年,印度将成为全球五大芯片生态系统之一,”印度电子和信息技术部长Ashwini Vaishnaw在3月份的奠基仪式上表示。该工厂计划于2026年开始运营,总投资将达到9100亿卢比(109亿美元)。将半导体加工成电子元件的后端工艺是劳动密集型的,因此许多公司都计划在劳动力成本较低的印度建厂。美国存储制造商美光科技也在古吉拉特邦建造一家工厂,计划于2024年开始运营。日本瑞萨电子已宣布计划与当地公司合作建厂。Counterpoint Technology Market Research调研机构表示,印度的半导体相关市场将在2026年达到640亿美元,几乎是2019年的三倍。SEMI也称赞印度是半导体制造和采购的有吸引力的地方。为迎接新芯片制造厂的到来,设备制造商开始开设工厂。东京电子已经建立营销基地。“对于需要集中供应商的半导体行业来说,印度是一个有吸引力的市场,可以期待技术创新和市场增长,”东京电子表示,还计划根据客户趋势扩大基地,预计前端设备的需求将增加。专门从事后端设备的Disco正在考虑建立一家当地子公司来处理销售和维护服务。Disco目前通过新加坡子公司覆盖印度市场,但随着后端工厂的激增,将需要建立当地基地。“随着工厂建设项目的成型,我们将响应客户的要求。”Disco表示。日本测试设备制造商爱德万测试(Advantest)在2013年收购的一家印度软件开发商设有基地,正在开发与性能测试相关的软件。由于前端和后端工厂建设的预期,爱德万测试表示正在考虑在印度开设销售基地。佳能今年6月表示,为印度半导体行业做出贡献被视为增长支柱,并指出光刻机和其他设备的需求机会。在美国公司中,泛林集团于2022年在印度开设了具有简单开发功能的工程中心,以响应客户的要求。应用材料公司已表示计划投资4亿美元在印度建立开发中心。对于人口众多、创造就业机会具有挑战性的印度来说,启动尖端产业一直是长期以来的愿望。印度总理莫迪政府在2021年宣布将投资7600亿卢比支持半导体和液晶面板生产。然而,基础设施结构问题仍然存在。研究公司IDC负责人Crawford Del Prete表示,前端组装和测试流程极其复杂。他补充说,在工业基础设施到位之前,重点可能会放在建立涉及后端流程的公司集群上。
  • 外媒:中国拟1万亿补贴芯片,大部分补贴半导体设备购买
    据路透香港报道,三位消息人士表示,中国正在为其半导体行业制定逾1万亿元(1430亿美元)的一揽子支持计划。这是朝着芯片自给自足迈出的重要一步,也是为了对抗美国旨在减缓其技术进步的举措。报道中指出,消息人士称这是未来五年内其规模最大的财政激励计划之一,主要以补贴和税收抵免的形式。大部分财政援助将用于补贴中国企业购买半导体设备,用于晶圆制造。即购买半导体设备,将可以获得20%的采购成本补贴。其中两名不愿透露姓名的消息人士表示,该计划最早可能在明年第一季度实施。今年10月,拜登政府提出了美国公司先进芯片和芯片制造设备对华出口新限制。美国政府还呼吁美国盟友发布类似的限制。有外媒报道,知情人士透露,日本和荷兰已原则上同意加入美国的行列,加强对向中国出口先进芯片制造设备的限制。美国对中国实施出口限制和客户减少投资给美国半导体设备企业投下了阴影。应用材料预测称,对华限制将对2023财年(截至2023年10月)销售额最高产生25亿美元的影响。这相当于2022年财年(截至2022年10月)销售额的10%。此次补贴政策如果出台,国产半导体设备商有望受益。通知:免费学习+直播抽奖|第三届“半导体材料、器件研究与应用”网络会议即将召开为加速国内半导体材料及器件发展,促进国内半导体材料与器件领域的人员互动交流,推动我国半导体行业的高质量发展。仪器信息网联合电子工业出版社将于2022年12月20-22日举办第三届“半导体材料与器件研究及应用”主题网络研讨会,围绕光电材料与器件、第三代半导体材料与器件、传感器与MEMS、半导体产业配套原材料等热点议题,为国内广大半导体材料与器件研究、应用及检测的相关工作者提供一个突破时间地域限制的免费学习平台,让大家足不出户便能聆听到相关专家的精彩报告。为回馈线上参会网的支持,增进会议线上交流互动,会务组决定在会议期间增设多轮抽奖环节,欢迎大家报名参会。同时,只要报名参会并将会议官网分享微信朋友圈积赞30个可以获得《2021年度科学仪器行业发展报告》(独家首发)一本,报名参会进群还将获得半导体相关学习电子资料压缩包一份。会议同期,还有部分赞助厂商将抽取幸运观众,邮寄企业周边产品。本次会议免费参会,参会报名请点击会议官网:https://insevent.instrument.com.cn/t/Mia (内容更新中)或扫描二维码报名
  • 德州仪器收购飞索半导体两家日本芯片工厂
    据国外媒体报道,德州仪器(TI)宣布收购飞索半导体在日本的两家芯片工厂。这家美国芯片制造商将收购已经申请破产的飞索日本公司的工厂和设备。   目前Spansion Japan Limited (SJL)经营这些资产,依照法院批准的重组计划,这些厂房将被德州仪器收购。收购这两座厂房後,德州仪器的类比半导体产能将提高,包括200奈米晶圆,每年有助德仪类比IC收入增加逾10亿美元。   2009年飞索日本公司因全球经济衰退打击了销售而申请破产保护,其母公司飞索半导体也申请破产。德州仪器表示,一家8英寸(200毫米)晶圆片工厂将继续运营,而另一家12英寸(300毫米)芯片制造工厂的设备,将被转移到得克萨斯州理查德森的德仪工厂。   德州仪器在声明中表示,这家12英寸工厂将用于满足未来的产能需求。该公司计划保留日本会津若松工厂的多数工人。德州仪器没有透露收购两家工厂的价格,但称这次收购是提高其主营业务模拟半导体产量的一条节约成本途径。德州仪器的芯片被广泛应用于从电子阅读器、智能手机、机器人和LED路灯等各种设备。   这是德州仪器今年里第二次大手笔购买不良资产。去年,该公司从申请破产的德国DRAM芯片生产商奇梦达手里收购了一家12英寸晶圆片厂的设备。2010年年初德州仪器再次表示,将从奇梦达那里购买更多设备。
  • 欧姆龙开发出30秒快速检测半导体芯片的设备
    日本医疗保健设备和工厂自动化供应商欧姆龙公司正将目光投向利润丰厚的芯片制造设备市场,以推动未来的增长。欧姆龙将于明年春季推出一款X射线扫描仪,将更好地检测先进半导体制造中的缺陷,并提高全球芯片制造商的产量。VT-X950设备将生成具有足够分辨率的芯片3D图像,以识别1nm尺度的缺陷,至少比当前一流的硅制造技术领先一代。由于每次扫描仅需30秒,芯片制造商近乎实时地监控生产情况,并更有效地进行调整和修正。对于台积电和三星电子等制造商来说,良率(即每个硅片生产的无缺陷芯片的比例)是受到密切关注的指标——它影响着每家公司的成本和完成客户订单的速度。欧姆龙检查系统总经理Kazuhisa Shibuya表示:“半导体行业的需求趋势是小批量生产更多种类的芯片,但如果没有实时CT扫描,这在经济上是不可行的。”CT(计算机断层扫描)是医疗诊断的支柱,也已经成为芯片制造中重要的质量控制工具。拥有90年历史的欧姆龙,其8760亿日元(59亿美元)年收入的一半以上来自工厂自动化产品,该公司于2012年发布VT-X900,首次进入半导体供应链。Kazuhisa Shibuya表示,这仍然是其业务的一小部分,主要局限于几家主要芯片制造商。Kazuhisa Shibuya认为,随着芯片变得越来越复杂、制造成本越来越高,需求将会增长。在仅仅几平方厘米的区域内,制造商需要编写比人的头发还细的金属线,并沉积数千个纳米级焊料凸点。将晶体管堆叠成三维结构的新技术——例如台积电和三星的(GAA)环栅架构——提高了精度要求。Omdia分析师Akira Minamikawa表示:“半导体制造过程中对CT扫描的需求非常迫切。随着行业追求芯片缩小和Chiplet(小芯片)技术,所需的键合技术水平飙升,特别是在过去几年。”当今需求最大的芯片是英伟达的顶级人工智能(AI)加速器,但台积电先进封装的生产能力却遇到了瓶颈。在这种情况下,质量控制和产量提高变得至关重要,因为微小的偏差都可能使售价数万美元的芯片变得一文不值。对制造出来的芯片进行X射线检查可以帮助检测缺陷,并允许工人根据需要微调流程。索尼集团此前表示,其最新智能手机摄像头传感器的量产遇到了麻烦,最终导致该公司的营业利润前景下降了15%。一般来讲,芯片制造商依靠所谓的功能测试来判断设备是否能按设计运行。CT也已被使用,但速度要慢得多:从生产线拾取样品单元,在单独的房间进行X射线检查,每次可能需要长达一个小时。东洋证券分析师Hideki Yasuda表示,对速度更快的检查设备的需求将急剧增加。尖端芯片制造的成本将要求更多的实时监控,以最大限度地减少硅浪费。Kazuhisa Shibuya表示,欧姆龙的CT扫描仪是芯片制造商在其装配线上安装的唯一现实选择,因为没有其他设备可以实时生成高质量的CT图像。与欧姆龙之前的型号相比,最新型号将扫描时间缩短了一半。
  • 发改委:鼓励四川、陕西等多地开展集成电路、半导体芯片等研制和生产
    p style=" text-indent: 2em " 近日,国家发展改革委就《西部地区鼓励类产业目录(2020年本,征求意见稿)》公开征求意见,重庆、四川、贵州、陕西等多个地区新增鼓励类产业涉及集成电路、新基建等领域。 br/   其中,重庆市新增鼓励类产业包括移动互联网、物联网、工业互联网、卫星互联网、大数据、人工智能、区块链等“新基建”建设及运营,网络安全。 br/   四川省新增鼓励类产业包括石墨烯和纳米碳材料、细结构石墨、生物炭、锂电池负极等新型碳材料的开发及生产;硅光集成电路芯片、光分路器、光纤活动连接器、光电收发模块、光网络设备的研发和生产。 br/   贵州省新增鼓励类产业包括新型基础设施建设。 br/   陕西省新增鼓励类产业包括以5G、人工智能、物联网、工业互联网为主要内容的新型基础设施建设;第三代化合物半导体、高功率半导体激光器芯片研发及生产、化合物半导体外延生长及芯片生产;半导体材料、新型光伏材料等电子材料的研制和生产,大功率MOSFEF和IGBT器件的设计制造,LTCC滤波器、MCM多芯片组件、厚膜通信电源、压电驱动器等产品的研发制造;半导体、集成电路、连接器、传感器、人工智能处理器、新型电子元器件、高端芯片研制生产。 br/   甘肃省新增鼓励类产业包括石墨烯和纳米碳材料、细结构石墨、生物炭、锂电池负极等新型碳材料的开发及生产。 br/   内蒙古自治区新增鼓励类产业包括石墨烯和纳米碳材料、细结构石墨、生物炭、锂电池负极等新型碳材料开发及生产;5G网络建设及运营;人工智能技术开发及应用;5G技术开发及应用;电子信息制造产业(新型显示除外)。 /p
  • 清华大学—闻泰科技工业与车规半导体芯片联合研究中心揭牌
    1月7日,清华大学-闻泰科技工业与车规半导体芯片联合研究中心揭牌仪式在清华大学FIT楼多功能厅举行,揭牌仪式由科研院副院长甄树宁主持,清华大学副校长曾嵘、集成电路学院院长吴华强、闻泰科技董事长张学政等出席本次仪式。曾嵘在致辞中提到,集成电路产业作为现代电子信息产业的重要基础,是支撑经济发展的战略性和先导性产业,清华大学非常重视集成电路学科的建设。2021年4月22日,学校正式成立了清华大学集成电路学院,集中精锐力量投向关键核心技术主战场,希望为实现集成电路科技高水平发展提供战略支撑。本次清华大学和闻泰科技强强联合,在集成电路领域共同成立工业与车规半导体芯片联合研究中心,开启了双方合作的新篇章,对于发挥双方优势,攻关解决车规半导体芯片领域的关键核心技术、推动产教融合和行业发展具有重要意义。 张学政表示,清华大学集成电路学院清华集成电路学院有国际一流的科研环境、全球领先的师资水平,以及出类拔萃的莘莘学子,闻泰安世有行业前沿的创新技术、面向未来的产业方向,以及庞大的产品线和客户群,双方的合作必将成为科技企业与高等院校强强联合的典范。张学政称,我们正置身于世界百年未有之大变局,集成电路是未来100年的关键赛点。“自强不息,厚德载物”的清华校训,闻泰安世 “向上、向善、向阳”的企业精神,让我们在抢占科技竞争和未来发展制高点的过程中,共同助力车规级半导体的崛起!集成电路学院院长吴华强主持了仪式前的报告会,张学政发表了题为“演进:时代与我们”的报告,分享了他对科学技术、半导体行业发展和闻泰安世半导体战略规划的看法。半导体行业正处于后摩尔定律时代,车规半导体可能会是第三次半导体浪潮中坚力量。闻泰科技旗下的安世半导体是全球领先的车规级半导体企业,在车规级半导体领域拥有巨大的优势。安世庞大的产品线和客户群、持续增加的研发投入、快速增加的晶圆和封测产能将保证公司保持持续增长。安世的研发投入将从9%提升到15%,在全球新增2500多名研发工程师。目前强大的研发投入初见成效,很多新产品IGBT、中高压MOSFET、Analog、碳化硅(SiC)、氮化镓(GaN)今年都将逐步量产。上海临港新建的12英寸车规级晶圆厂也将在今年投入使用,未来将为安世提供强大的新增产能保障!英国曼彻斯特、英国威尔士、德国汉堡、马来西亚芙蓉、菲律宾卡布尧和中国东莞等各地新增产能也将陆续释放,安世半导体持续的投资能满足未来汽车客户和工业、消费市场的巨大需求,坚定不移地支撑安世向百亿美金公司的道路上前进。在当下以科技为第一生产力的时代,闻泰安世期望能依托清华大学在科研技术创新和人才培养方面的优势,共同为车规级半导体行业作出应有的贡献。
  • 监控半导体芯片生产中离子污染的神器——ICS 6000离子色谱
    监控半导体芯片生产中离子污染的神器——ICS 6000离子色谱 关注我们,更多干货和惊喜好礼 2020 半导体产业2020年注定是不平凡的一年,不仅仅是新冠的肆虐,也因为国内外贸易争端加剧,对某些中国企业是一大挑战,同时也是一大机遇,将刺激我国对于芯片等半导体产业的重视,同时赛默飞也将致力于帮助客户解决当中遇到的问题。 集成电路(Intergrated Circuit)又称芯片,是一种微型电子器件,是把电路(包括半导体装置、元件)小型化、并制造在半导体晶圆表面上形成的具有所需电路功能的微型结构。 在半导体行业中对离子的污染非常敏感感超过80%的制作工序都需要用到纯水,对于不同级别的生产线而言,对纯水的质量要求也不尽相同,限度跨度从ppt—ppb。 ASTM D5127-13 Standard Guide for Ultra-Pure Water Used in the Electronics and Semiconductor Industries 同时芯片的生产过程中会使用到很多试剂,如硫酸、氨水等,而这些试剂挥发到空气中会对芯片造成晶体缺陷、雾状缺陷等,因此: 监控环境空气和超纯水中离子的含量是非常必要的 你知道吗那么大家知道,空气中与超纯水中的杂质离子含量这么低,通过什么手段实现检测呢?赛默飞离子色谱ICS-6000选配AM模块通过大体积浓缩进样,可轻松实现如上要求,完全可以达到芯片生产过程中对环境与水的控制。 ICS 6000双系统,直接进样分析,可同时在线检测超纯水中痕量(50ppt)阴阳离子。 ICS 6000双系统 直接进样流路图1配置AS-HV以后的ICS 6000可实现大体积浓缩进样,从而进一步提高灵敏度降低检出限( 大小环进样流路图 2可选配IC Pure在线纯水机在线制备离子色谱分析过程中所需超纯水,从而给淋洗液提供更纯的水源。 IC Pure在线纯水机 3配备空气采样器由真空泵以恒定流速抽取环境空气,超纯水吸收空气中阴阳离子后上离子色谱检测。根据抽取时间与流速从而计算抽取空气体积,得出空气中离子含量。 空气采样器 那么实际效果如何呢?请看如下两张谱图: 常规阴离子谱图(1-10ppt) 常规阳离子谱图(20ppt)赛默飞离子色谱全流程解决方案ICS 6000高压离子色谱ICS-6000高压离子色谱是一款可实现阴阳离子同时分析的高压离子色谱系统,高压梯度提供了高分离度与高重复性。同时配有赛默飞独有耐高压Viper管线,独特的力矩设计,无需辅助工具,手动自如实现装卸,简单方便。 耗材监控识别功能自动识别并追踪 IC 耗材的安装时间、使用情况和性能指标。其可防止耗材安装错误,安排预防性维护时间,管理耗材使用情况,可同时监测多达 25 种不同耗材的 16 余项关键性能指标。从而可以根据产品性能指标和生产质量保证数据验证耗材的性能。 淋洗液自动发生器ICS-6000 配备RFIC-EG(淋洗液自动发生器),淋洗液发生灌以指定的浓度电解生成高纯度氢氧化钾(KOH)或甲磺酸(MSA)淋洗液。该设备的淋洗液与再生液仅要求使用高纯度去离子水即可,从而实现零系统空白。同时RFIC-EG 模块可控制等度或梯度条件,提供无与伦比的方法重现性和准确度。 DC温控ICS-6000温控系统分为上下两部分且可单独控温,上部分控制检测单元,下部分控制进样阀与色谱柱,温度全部覆盖,稳定性更佳。 ICS 6000 DC模块自 1975 年以来,我们一直致力于离子色谱(IC)技术的开发与创新,包括仪器、化学分离、抑制器和软件。作为业界领导者,我们通过分享已知信息努力为您的实验室提供支持,充当值得信赖的顾问,并提供您所需要的服务和支持。我们所做的一切支持并认可您和您的使命,确保世界更健康、更清洁、更安全。 Thermo Scientific™ Dionex™ ICS-6000 离子色谱仪 “码”上下载填写表单即刻获取【赛默飞ICS-6000 HPIC 高压离子色谱系统】 如需合作转载本文,请文末留言。 扫描下方二维码即可获取赛默飞全行业解决方案,或关注“赛默飞色谱与质谱中国”公众号,了解更多资讯+
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制