仪器信息网APP
选仪器、听讲座、看资讯

ASML携7nm制程DUV光刻机亮相进博会

导读:上周在国际进口博览会现场,半导体设备巨头ASML展出了可用于7纳米以上先进制程的深紫外曝光机DUV。

上周在国际进口博览会现场,半导体设备巨头ASML展出了可用于7纳米以上先进制程的深紫外曝光机DUV。

有报道指出,虽然目前极紫外曝光机,俗称EUV光刻机仍受到美国的技术封锁而无法出口,但ASML保证DUV就完全没有问题,尤其是浸润式DUV,并不需要向美国申请出口许可。而在经过多重曝光后,浸润式DUV也能达到7纳米制程的门槛,甚至更进一步。

这令中芯等业者似乎有了解套,且ASML在会场上更提供了完整的解决方案,拥有先进控制能力的机台将能通过建模、仿真、分析等技术,让边缘定位精度不断提高,深受市场瞩目。ASML全球副总裁暨中国区总裁沈波在受访时表示,公司对向中国出口光刻机持相当开放的态度,在法律法规的框架下,都会全力支持。

ASML目前已在中国建立了培训中心,培养相关人才,在深圳和北京也有两家技术开发中心,专门做技术开发,已提供近700多台各式产品。此次若真能提供适用于7纳米制程以上的DUV可谓是相当大的突破。因为理论上,DUV通常只能用到25纳米。

英特尔虽然透过特别的技术使其用在10纳米制程,但这几乎已是极限。DUV的深紫外光波长近193纳米,虽然透过液体浸润多重曝光后,的确能够缩小线距,但要与EUV的13.5纳米波长等效,成本及良率恐怕都会很难看,这也是当初为何台积电毅然选择投入设备非常昂贵的EUV技术。

来源于:科技新报

热门评论

写评论…
0

上周在国际进口博览会现场,半导体设备巨头ASML展出了可用于7纳米以上先进制程的深紫外曝光机DUV。

有报道指出,虽然目前极紫外曝光机,俗称EUV光刻机仍受到美国的技术封锁而无法出口,但ASML保证DUV就完全没有问题,尤其是浸润式DUV,并不需要向美国申请出口许可。而在经过多重曝光后,浸润式DUV也能达到7纳米制程的门槛,甚至更进一步。

这令中芯等业者似乎有了解套,且ASML在会场上更提供了完整的解决方案,拥有先进控制能力的机台将能通过建模、仿真、分析等技术,让边缘定位精度不断提高,深受市场瞩目。ASML全球副总裁暨中国区总裁沈波在受访时表示,公司对向中国出口光刻机持相当开放的态度,在法律法规的框架下,都会全力支持。

ASML目前已在中国建立了培训中心,培养相关人才,在深圳和北京也有两家技术开发中心,专门做技术开发,已提供近700多台各式产品。此次若真能提供适用于7纳米制程以上的DUV可谓是相当大的突破。因为理论上,DUV通常只能用到25纳米。

英特尔虽然透过特别的技术使其用在10纳米制程,但这几乎已是极限。DUV的深紫外光波长近193纳米,虽然透过液体浸润多重曝光后,的确能够缩小线距,但要与EUV的13.5纳米波长等效,成本及良率恐怕都会很难看,这也是当初为何台积电毅然选择投入设备非常昂贵的EUV技术。